北航白光干涉仪实验

北航白光干涉仪实验
北航白光干涉仪实验

光电仪器与测量专业实验报告实验一:白光干涉仪实验

作者姓名:

作者学号:S Y

电话:

电子邮箱:

学科专业:

培养院系:

年月日

一.白光干涉仪实验

1. 实验目的

(1)了解白光干涉仪的工作原理

(2)了解利用白光干涉仪测量光纤环中应力分布的方法

2. 实验器材

SLD光源、白光干涉仪、起偏器、光纤环、光纤适配器、剥纤钳、酒精瓶、宝石刀、计算机、无尘纸、消光比测试仪,温箱。

3. 实验原理及目的

应力会导致在光纤中传输光波偏振态的变化,通过分布式偏振串扰测试技术可以获得光纤环的应力分布模型,并用于评价光纤环的性能。

白光干涉仪利用麦克尔逊干涉仪作为测量手段,它可以测试光纤环的偏振串扰分布。它采用了宽谱光源,其相干长度很短。在白光干涉仪中,只有当两束光的光程差小于其相干长度时,这两束光才能发生干涉。利用白光干涉仪的这个特点,系统可以确定被测物体中耦合点的位置和强度。也就是,可以测量光路中偏振分布变化情况。在光纤环中,偏振的变化与应力分布对应,因此,白光干涉仪可以从偏振的角度对光纤环应力分布进行测量。原理如图一所示。

输入光波

补偿干涉仪

输入干涉仪

图一白光干涉仪原理

当被测光纤环中存在偏振耦合时,入射光波通过入射干涉仪后分为两路这两路光波位置差与入射干涉仪光程差一致。再通过补偿干涉仪后输出为四路光波。它们的偏振态分别两两正交。两对正交的偏振光波的位置差与补偿干涉仪的光程差一致。这个值是可以改变的,因此,当某一位置发生干涉时,就会被识别。实验系统如图二所示。

图二白光干涉仪检测系统

本实验使学生了解白光干涉仪的基本原理以及测量光纤环应力分布的基本方法,通过本实验的操作,掌握光学系统的基本操作与调试。

4. 实验步骤

(1)将待测光纤环放入温箱中;

(2)按设备操作说明开启白光干涉仪;

(3)处理光纤环的尾纤端头,安装适配器,将适配器分别与消光比测试仪和起偏器连接;

(4)调节起偏器,调出光纤环的最大串音和最高功率值;

(5)将与消光比测试仪连接的适配器与白光干涉仪的输入端连接,调节白光干涉

仪控制软件的参数;

(6)打开温度控制开关,预热后升温,温度每变化10℃记录一次应力分布曲线。共测量3组数据。

5.实验要求

(1)独立调出光纤环的最大串音和最高功率值;

(2)根据实验数据描绘出不同温度下光纤环的应力分布曲线。

6.实验结果与分析

图1干涉仪截图

图2 实验数据分析图

检测平面度的方法介绍

检测平面度的方法介绍

一、平面度的定义 平面度是指基片具有的宏观凹凸高度相对理想平面的偏差。 平面的平面度公差符号、基本表示方法,如图1所示。 图1 二、平面度误差的检测方法 平面度误差是指被测实际表面相对其理想表面的变动量,理想平面的位置应符合最小条件,平面度误差属于形位误差中的形状误差。 平面度误差的测量方法: 直接测量法 间接测量法 利用太友科技数据采集仪连接百分表法 1、直接测量法 通过测量可直接获得平面上各点坐标值或能直接评定平面度误差值的方法。具体如下: 平晶干涉法 测微表测量法 光轴法、液面法等。 1)平晶干涉法 干涉法测量平面度误差,是把平晶放在它所能覆盖的整个被测平面上,用平晶工作面体现理想平面,根据测量时出现的干涉条纹形状和数目,由计算所得的结果作为平面度误差值,如图所示。

该方法只适合测量精研小平面及小光学元件。 2)测微表测量法 用3个可调支承将被测件支撑在标准平板上,用测微仪指示。调整可调支承,用三点法或四点法(对角线法)进行测量。然后用测微仪读出被测表上各点的最大与最小读数差作为平面度误差值的测量结果。该测量方法适用于车间较低精度、中等尺寸的工件。 3)光轴法 光轴法测量平面度误差是利用准直类仪器2、以它的光轴经转向棱镜3扫描的平面作为测量基准,将瞄准靶1放置在实际被测平面4上,按选定的布点,测出各测点相对于该测量基准的偏离量,再经数据处理评定平面误差值。

2、间接测量法 特点:测量精度高,但数据处理麻烦。因被测平面需测若干个截面,而各截面内的偏差值在测量时不是由同一基准产生,故须经复杂的数据后,才能获得各测量截面相对统一基准的坐标值。 适用于中大平面的测量。 测量方法:水平仪法、自准仪法、互检法 1)水平仪法 原理:以自然水平面作为测量基础。测量时,先把被测表面调到基本水平,然后把水平仪放在桥板上,再把桥板置于被测表面上,按照一定的布线逐渐测量,同时记录各测点的读数,根据测得的读数通过数据处理,即可得平面度误差值。 分类:依布线方法不同又分为水平面法和对角线法。 2)水平面法 采用网格布点,基准平面为过被测表面上的某给定点且与水平面平行的几何平面:测量时应采用同一桥板,各测点的同一坐标值用累积法求得,计算比较简单。测量时选择不同的起始点和不同的测量线,其数据处理的方法、结果不同。存在一个最佳结果。 3)对角线法 采用对角线布点。 过渡基准平面是:过被测表面的一条对角线,且平行于被测表面的另一条对角线的平面。测量时常须用三块长度不同的板桥。数据处理较麻烦。 4)自准仪法

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

ZYGO干涉仪-使用说明讲课教案

Z Y G O干涉仪-使用说 明

1目的 为了使员工正确熟悉的使用ZYGO干涉仪。本文详细说明了如何使用ZYGO 干涉仪来测试晶体的平行度、波前、平面度等指标。 2范围 本文件涉及用ZYGO 干涉仪检测平面元件的一般方法。 3 录取数据 在检验过程中将会生成以下记录: 3.1干涉图(保存文件名为*.Tif),在实时窗口上点击FILE-SAVE保存。 3.2测试数据(保存文件名为*.Dat),测试完成后点击SAVE DATE保存。 4 Zygo干涉仪的定义 4.1 应用(application) 应用是ZYGO 干涉仪中一系列功能的组合,保存为后缀名为“*.app”的文件。不同的应用用于不同项目的测量。比较常用的是GIP.app 用于一般的平面和球面的测量,GPI-Cylinde.app 用于柱面面形的测量,Angle.app用于平行角度的测试。 4.2 猫眼像(cateye) 又称为标准镜的像。标准镜的出射光在焦点处被返回时出现的干涉条纹,是透过干涉仪的光线与和它对称的标准面之间的干涉图形。 4.3 镜片像

从标准镜出射的光在整个零件表面被原路反射回来与标准面的反射光发生干涉产生的干涉图形。包含待测零件的表面或波前信息,是面形检测的主要信息来源。 4.4 升降台 可以升降的平台,带有小倾角调节功能,一般用于放置平面元件。 4.5 Align/View 模式 按下控制盒上的align/view 切换的2 个模式之一。align模式可以看到一个黑色固定的十字线和反射回干涉仪的光点,一般用于零件对准,特点是视场较大。View 模式是按下控制盒上的align/view 切换的2 个模式之一,可以看到干涉条纹,特点是放大率较高,但是视场较小。一般在align界面对准后在view 界面观察条纹。 4.6 标准镜 干涉仪上使用的参考表面,用于生成理想的平面、球面波,作为测量基准。 4.7 长度基准 设定图像的长度基准,因为放大率不同或者屈光度不同,同样大小的干涉图所代表的零件大小可能有很大的差异。设定长度基准的目的就是告诉干涉仪图形中的一段长度相当于镜片中长度的多少,方便控制测量区域和设定掩膜。 4.8 掩膜(mask)

白光扫描干涉测量

垂直扫描白光干涉法测量技术 垂直扫描白光干涉法是干涉法的基础上发展起来的一种光学非接触测量方法。结合了白光干涉显微技术和相移干涉技术,也被称为白光干涉条纹扫描法、相干检测法等。 光的干涉是光在传播过程中呈波动性的重要现象之一,1801年,杨氏双缝实验历史长第一次用实验显示了光的干涉现象,其设计构思的精巧之处在于从同一波阵面上取得了两个波源。随后,相继出现了很多类似原理的实验装置。目前,相干光的应用已经遍及各个领域,如光相干探测、相干光通信以及在遥感领域和军事领域的应用等。 光的干涉现象时光的波动性的表现。光的干涉产生干涉条纹,表现为光在遇到障碍物时候出现光的强度或明暗,在空间稳定分布的现象。两束光在相遇的区域内形成稳定的明暗交替或彩色条纹的现象成为光的干涉现象。例如:双缝干涉中将S光源发出的一束光通过S1、S2的双狭缝,分离出两个很小的部分作为相干光源,这两束光为同一光源发出,所以频率,相位都相等。由于两束光源到屏幕上的任意点的距离不等,所以当两束光在屏幕相遇时,相位相等的点就呈现出叠加加强的现象,显示为亮点,而相位相反的点则相互抵消,就显示为暗点。这样在双缝后面的幕上就呈现了明暗相间的条纹——干涉图样,如图1。对干涉现象的产生完全可按照矢量波的合成来分析。显然,不满足相干条件的几列波虽能叠加,但不能干涉。 图1 白光光源包含了整个可见光谱区域的光谱成分,自红光至紫光,波长为4000~7000?,光谱宽度很大,相干长度很长,大约几个微米。只有光程差很小时,两束光才能发生干涉,白光中不同波长的光将产生各自的一组干涉条纹。因

为干涉条纹的间距与光的波长有关,当光程差为零时,白光光谱内各个谱线双光束干涉的零级条纹完全重合,各种波长的光重叠形成白光干涉对比度最大的白色零级条纹,此处可以认为是最佳干涉位置。随着光程差的不断增加,不同波长的干涉条纹光强的极小值相继出现,此是条纹宽度相差较小,重叠后的干涉条纹颜色为黑色。继续增大光程差,不同波长的干涉条纹光强的极大值不断出现,呈现出彩色条纹。由于各波长干涉条纹的错开会使条纹对比度逐步下降,到一定程度时干涉条纹将消失,如图2所示。白光干涉条纹的影响因素较多,光源的特性和两束相干光的强弱影响干涉条纹的对比度,干涉光路的设计决定了干涉条纹的宽度和颜色分布。 图2 干涉显微镜是干涉仪和显微镜的组合,利用干涉条纹的弯曲量来测量表面的微观不平度。与其他光学技术相比,干涉显微镜具有较高的放大倍数和分辨率,而且表面信息直观,测量精度很高。图3为Mirau型干涉显微镜。 图3

北航物理研究性实验报告——示波器

北航物理研究性实验报告 专题:模拟示波器的使用及其应用 学号:10151192 班级:101517

姓名:王波 目录 目录 (2) 摘要 (3) 一.实验目的 (3) 二.实验原理 (3) 1.模拟示波器简介 (3) 2.示波器的应用 (6) 三.实验仪器 (6) 四.实验步骤 (7) 1.模拟示波器的使用 (7) 2.声速测量 (8) 五.数据记录与处理 (8) 六.讨论 (10)

摘要 示波器是一种用途十分广泛的电子测量仪器,它能直观、动态地显示电压信号随时间变化的波形,便于人们研究各种电现象的变化过程,并可直接测量信号的幅度、频率以及信号之间相位关系等各种参数。示波器是观察电路实验现象、分析实验中的问题、测量实验结果的重要仪器,也是调试、检验、修理和制作各种电子仪表、设备时不可或缺的工具。 一.实验目的 1.了解示波器的主要结构和波形显示及参数测量的基本原理,掌握 示波器、信号发生器的使用方法; 2.学习用示波器观察波形以及测量电压、周期和频率的方法; 3.学会用连续波方法测量空气速度,加深对共振、相位等概念的理 解; 4.用示波器研究电信号谐振频率、二极管的伏安特性曲线、同轴电 缆中电信号传播速度等测量方法。 二.实验原理

1.模拟示波器简介 模拟示波器是利用电子示波管的特性,将人眼无法直接观测的交变电信号转换成图像并显示在荧光屏上以便测量和分析的电子仪器。它主要由阴极射线示波管,扫描、触发系统,放大系统,电源系统四部分组成。 示波管结构图 (1)工作原理 模拟示波器的基本工作原理是:被测信号经Y轴衰减后送至Y1放大器,经延迟级后到Y2放大器,信号放大后加到示波管的Y轴偏转板上。 若Y轴所加信号为图所示的正弦信号,X输入开关S切换到“外”输入,且X轴没有输入信号,则光点在荧光屏竖直方向上按正弦规律上下运动,随着Y轴方向信号的提高,由于视觉暂留,在荧光屏上显示一条竖直扫描线。同理,如在X轴所加信号为锯齿波信号,且Y轴没有输入信号,则光点在荧光屏上显示一条水平直线。

一文读懂白光干涉原理

一文读懂白光干涉原理 在白光干涉中,光谱中各色光都有可能参加干涉,并将干涉光强叠加到最后形成的干涉图样上,因此在表面形貌测量中白光干涉形成的干涉条纹是由各色光干涉图样叠加形成的。被测表面的深度不同,两束光的干涉光强不同,干涉条纹的对比度不同,组成干涉条纹的光谱成分也不同。可见,在白光干涉表面形貌测量中,被测表面的深度信息被调制到干涉图样的强度、对比度及光谱成分等信息中,因此可利用干涉图样的强度、对比度以及光谱成分信息扩展深度测量范围。1.干涉条纹扫描法 干涉条纹扫描法扩展深度测量范围的理论根据是被测表面上各点深度不同所形成的干涉光强不同。在双光束干涉显微镜中,如果从分束器到被测表面上某一点的距离等于从分束器到参考面的距离,那么对应的两束干涉光的光程差为0,所形成的干涉光强最小(或最大)。如果用压电陶瓷(PZT)等微位移驱动器沿光轴方向移动样品台或参考镜进行扫描,那么干涉图样上每一点的强度将随着变化。在扫描时,如果记录下或计算出被测面上每一点对应的干涉光强达到最小(或最大)时微位移驱动器的位置,那么在完成扫描后各点间的深度就能计算出来。对于一个具体的干涉显微系统,用干涉条纹扫描法测量形貌,其深度测量范围与干涉光频谱成分有关,大小与干涉长度的一半相当;深度测量分辨率与干涉图样测量系统的分辨率有关,取决于A/D 转换器的位数,可达纳米量级;而测量精度则取决于微位移驱动器。恰当的数据处理方法也可以提高分辨率以及测量精度。 2.干涉条纹对比度法 在白光干涉中,两束相干光形成的干涉光强可表达成一般的形式: Φ Φ++=cos )(**2m S R S R I 式中,R 和S 是两束相干光的光强,Φ是与被测表面深度有关的位相,m 可看作是对比度,它与位相Φ干涉光频谱成分有关。如果干涉图样没有剪切并且干涉光频谱曲线是平滑的,那么m 与位相之间或与被测表面深度之间存在着一一对应的关系。当分束器到被测表面上某一点的距离等于分束器到参考面的距离时,值最大且近似等于1;当距离之差超过干涉光相干长度时,m 值最小,等于0。 由于在一定条件下条纹对比度m 与被测表面深度之间存在着一一对应的关系,因此如果通过某种方法测出m,便可测出被测表面的高度信息。?90相移法便是其中一种典型的测量方法。其原理是,首先测出一幅干涉图样,然后相移?90,测出另一幅干涉图样,从干涉图样中去掉直流成分分量,算出)cos()(??m 和))sin(2m(?π?+,再根据)cos()(??m 和

2平面度误差测量的实验报告

平面度误差测量的实验报告 一实验内容及目的: 1.学会用千分表测量一个平面的平 面度 2..学会千分表的使用 二实验仪器: 千分表:测量范围0—1mm. 最小 分度值0.001mm 0级大平板 三实验原理: 千分表是利用齿条齿轮传动,将 测杆的直线位移变为指针的角位移的计量器具。主要用于工件尺寸和形位误差的测量,或用作某些测量装置的测量元件。 一.使用前检查 1.检查相互作用:轻轻移动测杆,测 杆移动要灵活,指针与表盘应无摩 擦,表盘无晃动,测杆、指针无卡阻 或跳动。 2.检查测头:测头应为光洁圆弧面。 3.检查稳定性:轻轻拨动几次测头, 松开后指针均应回到原位。 二. 读数方法 读数时眼睛要垂直于表针,防止偏视造成读数误差。 小指针指示整数部分,大指针指示小数部分,将其相加即得测量数据。 三. 正确使用 1.将表固定在表座或表架上,稳定可靠。装夹指示表时,夹紧力不能过大, 以免套筒变形卡住测杆。 2.调整表的测杆轴线垂直于被测平面,对圆柱形工件,测杆的轴线要垂直于 工件的轴线,否则会产生很大的误差并损坏指示表。 3.测量前调零位。绝对测量用平板做零位基准,比较测量用对比物(量块)

做零位基准。 调零位时,先使测头与基准面接触,压测头使大指针旋转大于一圈,转动刻度盘使0线与大指针对齐,然后把测杆上端提起1-2mm再放手使其落下,反复2-3次后检查指针是否仍与0线对齐,如不齐则重调。 4.测量时,用手轻轻抬起测杆,将工件放入测头下测量,不可把工件强行推 入测头下。显著凹凸的工件不用指示表测量。 5.不要使测量杆突然撞落到工件上,也不可强烈震动、敲打指示表。 6.测量时注意表的测量范围,不要使测头位移超出量程,以免过度伸长弹簧, 损坏指示表。 7.不使测头测杆做过多无效的运动,否则会加快零件磨损,使表失去应有精 度。 8.当测杆移动发生阻滞时,不可强力推压测头,须送计量室处理。 四实验数据记录及处理

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

zygo干涉仪gpi-p-d使用说明

ZYGO干涉仪使用说明 目的 制定本文件是为了详细说明如何使用ZYGO干涉仪测量平面、球面、柱面晶体元件的曲率半径、面形(平行度、平面度)、以及透过波前畸变,并提高检验过程的准确性和可重复性。 范围 本文件涉及用ZYGO干涉仪检测平面、球面、柱面元件的一般方法。 记录 在检验过程中将会生成以下记录: 干涉图(保存文件名为*.Tif),在实时窗口上点击FILE-SAVE保存。 测试数据(保存文件名为*.Dat),测试完成后点击SAVE DATE保存。 相关文件 与本文件相关的文件有: ?待测零件图纸 定义 应用(application) 应用是ZYGO干涉仪中一系列功能的组合,保存为后缀名为“*.app”的文件。不同的应用用于不同项目的测量。比较常用的是用于一般的平面和球面的测量,用于柱面面形的测量,用于平行角度的测试。 猫眼像(cateye) 又称为标准镜的像。标准镜的出射光在焦点处被返回时出现的干涉条纹,是透过干涉仪的光线与和它对称的标准面之间的干涉图形。 镜片像 从标准镜出射的光在整个零件表面被原路反射回来与标准面的反射光发生干涉产生的干涉图形。包含待测零件的表面或波前信息,是面形检测的主要信息来源。 升降台

可以升降的平台,带有小倾角调节功能,一般用于放置平面元件。 Align/View 模式 按下控制盒上的align/view切换的2个模式之一。align模式可以看到一个黑色固定的十字线和反射回干涉仪的光点,一般用于零件对准,特点是视场较大。View模式是按下控制盒上的align/view切换的2个模式之一,可以看到干涉条纹,特点是放大率较高,但是视场较小。一般在align界面对准后在view界面观察条纹。 标准镜 干涉仪上使用的参考表面,用于生成理想的平面、球面波,作为测量基准。 长度基准 设定图像的长度基准,因为放大率不同或者屈光度不同,同样大小的干涉图所代表的零件大小可能有很大的差异。设定长度基准的目的就是告诉干涉仪图形中的一段长度相当于镜片中长度的多少,方便控制测量区域和设定掩膜。 掩膜(mask) 表明干涉图中有效区域的工具。可以根据需要设定有效区域的形状、大小、位置,也可以从有效区域中挖去一部分不需要的。 职责 主要包括以下几个方面: zygo干涉仪使用和维护部门为品管部。品管部经理负责保证过程实施所需的培训及资源。 按照校准计划对设备定期检定。 指定的仪器使用者需保证使用过程按按照操作规程操作仪器(程序文件要求实施)。 定期对设备进行保养。 工具、计量器具、测量设备 主要设备和工具包括: ZYGO干涉仪,导轨,三爪卡盘,六维调整架,平面标准镜TF,各种规格的球面标准镜TS,柱面标准镜CGH,标准平面反射镜,升降台 认识ZYGO干涉仪

北航机电仿真实验报告(附源代码以及运行结果)

机电系统设计仿真实验报告 题目:基于Maple的滑块摆仿真实验程序设计院系: 班级: 姓名: 学号:

北京航空航天大学机电系统设计仿真实验 基于Maple的滑块摆实验程序设计 一、实验目的及意义 通过本实验掌握Maple仿真软件的使用方法,建立系统数学建模的思想,同时对编程能力也是一种提高。 二、实验原理与要求 2.1 Maple简介 Maple是一个具有强大符号运算能力、数值计算能力、图形处理能力的交互式计算机代数系统(Computer Algebra System)。它可以借助键盘和显示器代替原来的笔和纸进行各种科学计算、数学推理、猜想的证明以及智能化文字处理。Maple这个超强数学工具不仅适合数学家、物理学家、工程师, 还适合化学家、生物学家和社会学家, 总之, 它适合于所有需要科学计算的人。 2.2 滑块摆实验要求 滑块摆由一置于光滑杆上的质量为m的滑块A、一质量为M的小球B和长度为L,质量不计的刚性杆铰接而成,不计各处摩擦,以过A点的水平面为零势能面,通过Lagrange 方程建立系统的运动方程,利用Maple软件画出: 1.滑块A的位移x随时间t的变化曲线 2.角度φ随时间t的变化曲线 3.滑块摆的运动动画

三、实验设计及方法 3.1 设计原理 设定初始条件为:m=1Kg ,M=1Kg ,g=9.8,L=2m φ(0) = 0rad, x(0) = 0m, φ’(0) = -1.3rad/s, x ’(0) = 1m/s 如下定义的拉格朗日方程 ''c p q L E E d L L D F dt q q q =-? ? ??????-+= ?????? ?? 其中: q x(t)和θ(t)的自由度 D 由于摩擦而消耗的能量 F q 由自由度q 产生的力 E c 和E p 系统的动能和势能 系统有两个自由度,以x 和?为广义坐标,以过A 点的水平面为零势能面,系统的动

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

zygo干涉仪使用说明

1目的 为了使员工正确熟悉的使用ZYGO干涉仪。本文详细说明了如何使用ZYGO 干涉仪来测试晶体的平行度、波前、平面度等指标。 2范围 本文件涉及用ZYGO 干涉仪检测平面元件的一般方法。 3 录取数据 在检验过程中将会生成以下记录: 3.1干涉图(保存文件名为*.Tif),在实时窗口上点击FILE-SA VE保存。 3.2测试数据(保存文件名为*.Dat),测试完成后点击SA VE DATE保存。 4 Zygo干涉仪的定义 4.1 应用(application) 应用是ZYGO 干涉仪中一系列功能的组合,保存为后缀名为“*.app”的文件。不同的应用用于不同项目的测量。比较常用的是GIP.app 用于一般的平面和球面的测量,GPI-Cylinde.app 用于柱面面形的测量,Angle.app用于平行角度的测试。 4.2 猫眼像(cateye) 又称为标准镜的像。标准镜的出射光在焦点处被返回时出现的干涉条纹,是透过干涉仪的光线与和它对称的标准面之间的干涉图形。 4.3 镜片像 从标准镜出射的光在整个零件表面被原路反射回来与标准面的反射光发生干涉产生的干涉图形。包含待测零件的表面或波前信息,是面形检测的主要信息来源。 4.4 升降台 可以升降的平台,带有小倾角调节功能,一般用于放置平面元件。 4.5 Align/View 模式 按下控制盒上的align/view 切换的2 个模式之一。align模式可以看到一个黑色固定的十字线和反射回干涉仪的光点,一般用于零件对准,特点是视场较大。View 模式是按下控制盒上的align/view 切换的2 个模式之一,可以看到干涉条纹,特点是放大率较高,但是视场较小。一般在align界面对准后在view界面观察条纹。

平面度等误差检测

平面度误差检测 一、中小型零件 1、检测工具:平面平晶 2、检测方法:(1)对量块工作面、千分尺测蛅平面等高精度的小平面工件,一般多用平面平晶以光波干涉原理测量平面度;(2)测量时,将平面平晶贴在被测表面上,并稍加压力,当干涉条纹的数目为最少时,方可进行读数;(3)被测平面的平面度误差为封闭的干涉条纹数乘以光波波长λ的一半,即f=n*0.5λ;(4)对不封闭的干涉条纹,平面度误差为条纹的弯曲度与相邻两条纹间距之比乘以光波波长λ的一半,即f=0.5λ*a/b;(5)当干涉条纹为直线时,则说明被测表面是平整的。注:比值a/b是靠目力估计的,其中:a:干涉带变曲度,b:干涉带宽度 轴类零件圆度误差的检测 1、两点法对圆度误差的检测 (1)检测工具:检验平板、指示表、表架、支承。 (2)检测方法:a被测零件轴线应垂直于测量截面,同时固定轴向位置; B在被测件回转一周过程中,指示表读数的最大差值的一半为单个截面的圆度误差; C按上述方法,测量若干个截面,取其最大的误差值,为该零件的圆度误差; D转动时,可以转动被测零件,也可以转动量具。f=0.5(M max-M min) 2、三点法测量圆度误差 (1)检测工具:V形块(90°、120°;72°、108°)或鞍形块、检验平板、指示表、表架 (2)检测方法:适用于测量内外表面的奇数棱形状误差 A、将被测零件放在V形块上,使其轴线垂直于测量截面、同时固定轴向位置; B、在被测件回转一周过程中,指示表读数的最大差值的一半为单个截面的圆度误差; C、按上述方法,测量若干个截面,取其最大的误差值,为该零件的圆度误差; D、此法测量结果的可靠性,取决于截面形状误差和V形块夹角的综合效果,通常用α=90°和120°或72°和108°两块V形块,分别测量;f=0.5(M max-M min) 轴类零件圆柱度误差的检测计算 一、三点法测量

北航电力电子实验报告

电力电子实验报告 学号12031006 王天然

实验一功率场效应晶体管(MOSFET)特性 与驱动电路研究 一.实验目的: 1.熟悉MOSFET主要参数的测量方法 2.掌握MOSEET对驱动电路的要求 3.掌握一个实用驱动电路的工作原理与调试方法 二.实验设备和仪器 1.NMCL-07电力电子实验箱中的MOSFET与PWM波形发生器部分 2.双踪示波器 3.安培表(实验箱自带)

4.电压表(使用万用表的直流电压档) 三.实验方法 1.MOSFET 主要参数测试 (1)开启阀值电压V GS(th)测试 开启阀值电压简称开启电压,是指器件流过一定量的漏极电流时(通常取漏极电流I D =1mA)的最小栅源极电压。 在主回路的“1”端与MOS 管的“25”端之间串入毫安表(箱上自带的数字安培表表头),测量漏极电流I D ,将主回路的“3”与“4”端分别与MOS 管的“24”与“23”相连,再在“24”与“23”端间接入电压表, 测量MOS 管的栅源电压Vgs ,并将主回路电位器RP 左旋到底,使Vgs=0。 图2-2 MOSFET实验电路

将电位器RP逐渐向右旋转,边旋转边监视毫安表的读数,当漏 极电流I D=1mA时的栅源电压值即为开启阀值电压V GS(th)。 读取6—7组I D、Vgs,其中I D=1mA必测,填入下表中。 I D 0.2 0.5 1 5 100 200 500 (mA) Vgs 2.64 2.72 2.86 3.04 3.50 3.63 3.89 (V) (2)跨导g FS测试 双极型晶体管(GTR)通常用h FE(β)表示其增益,功率MOSFET 器件以跨导g FS表示其增益。 跨导的定义为漏极电流的小变化与相应的栅源电压小变化量之 比,即g FS=△I D/△V GS。 ★注意典型的跨导额定值是在1/2额定漏极电流和V DS=15V下测得,受条件限制,实验中只能测到1/5额定漏极电流值,因此重点是掌握跨导的测量及计算方法。 根据上一步得到的测量数值,计算gFS=0.0038Ω

实验6-5 迈克尔逊干涉仪的原理与使用

实验6—5 迈克尔逊干涉仪的原理与使用 一.实验目的 (1).了解迈克尔逊干涉仪的基本构造,学习其调节和使用方法。 (2).观察各种干涉条纹,加深对薄膜干涉原理的理解。 (3).学会用迈克尔逊干涉仪测量物理量。 二.实验原理 1.迈克尔逊干涉仪光路 如图所示,从光源S 发出的光线经半射镜 的反射和透射后分为两束光线,一束向上 一束向右,向上的光线又经M1 反射回来, 向右的光线经补偿板后被反射镜M2反射回来 在半反射镜处被再次反射向下,最后两束光线在 观察屏上相遇,产生干涉。 2.干涉条纹 (1).点光源照射——非定域干涉 如图所示,为非定域干涉的原理图。点S1是光源 相对于M1的虚像,点S2’是光源相对于M2所成 的虚像。则S1、S2`所发出的光线会在观察屏上形 成干涉。 当M1和M2相互垂直时,有S1各S2`到点A 的 光程差可近似为: i d L cos 2=? ① 当A 点的光程差满足下式时 λk i d L ==?c o s 2 ② A 点为第k 级亮条纹。 由公式②知当i 增大时cosi 减小,则k 也减小,即条纹级数变高,所以中心的干涉条纹的级次是最高的 (2)扩展光源照明——定域干涉在点光源之前加一毛玻璃,则形成扩展光源,此时形 成的干涉为定域干涉,定域干涉只有在特定的位置才能看到。 ①.M1与M2严格垂直时,这时由于d 是恒定的,条纹只与入射角i 在关,故是等倾干涉 ②.M1与M2并不严格垂直时,即有一微小夹角,这种干涉为等厚干涉。当M1与M2夹角很小,且入射角也很小时,光程差可近似为 )21(2)2sin 1(2cos 222 i d i d i d L -≈-=≈?③ 在M1与M2`的相交处,d =0,应出现直线条纹,称中央条纹。 3.定量测量 (1).长度及波长的测量 由公式②可知,在圆心处i=0 0, cosi=1,这时 λk d L ==?2 ④ 从数量上看如d 减小或增大N 个半波长时,光程差L ?就减小或增大N 个整波长,对

数控机床检修:几何精度检验 GBT 17421-1-1998 平面度测量方法

检验内容、公差测量方法、工具测量原理示意图平面度确定平面或者代表面的总方向,是为了获得平面度的最小偏差,通常采用的方法有:- 一个被检平面内适当选择的三点,在靠近边缘部分上存在无关紧要的局部缺陷可以忽略不计。- 按划分的点用最小二乘法计算的平面。 在被检面上涂上红丹或者用轻油稀释的氧化铬。将平板放在被检面上进行恰当的往复运动,取下平板并记录被检面每单位面积接触点的分布情况。在表面的整个范围内接 触点的分布均匀,并不少于一个规定值。这种方法适用于小尺寸较精密的平面(刮过或者磨过的平面)。 用移动平尺所得的一组直线测量 首先用一些基准点建立一个理论平面。在检 验面上选择a、b、c三点作为零位标记,将三 个等高块放在这三点上。 将平尺放在a、c点上,在检验面的e点放置可 调量块,使其与平尺的下表面接触。再将平 尺放在b、e点上即可找到d点的偏差。 用平尺、精密水平仪和千分表测量 测量基准由两根借助精密水平仪到达平行放 置的平尺提供。平尺R1、R2应有足够的刚 度,使基准平尺的重量产生的挠度忽略不计 。 建立一个测量基准,根据测量基准测量出偏 差并加以标绘。标绘是在有规律的方格的不 同节点上进行的。 矩形表面的测量基准平面由两条直线OmX和OO'Y确定,此时 O、m、O'是被检面上的三个点。 圆形轮廓表面的测量 采用沿边缘的圆周和直径进行测量 - 在两个垂直直径上 - 在连接边缘点的正方形的四边上 圆周检验:在一个均衡座A上放置水平仪,并 以匀称的间隔绕平板周边移动。 直径检验:按照对一条线的直线度测量的任 何一种方法进行。用平板测量用平板和千分表测量 测量装置由平板和千分表组成,千分表装在具有一个基座的支架上,基座在平板上运动。有两种测量方法: - 被测部件放在平板上:平板尺寸和千分表支架开度足够大使整个表面都能测量。- 平板与被测面相对放置:用一个尺寸与被测面尺寸相似的平板进行测量。 用平尺测量平面度用精密水平仪测量平面度 当测量工具从一个位置移向另一个位置时, 这是目前所知的能够保持测量基准方向恒定 (水平)的唯一方法。 用角度偏差方法测量一条线的直线度是这项 测量的基础。在规定的测量范围内,当所有点被包含在与该平面的总方向平形并相距给定值得两个平面内时,则认为该面是平的。 平面度公差 平面度的公差带用相隔距离为t,且平行于该平面(代表平面)总方向的两个平面限定。测量范围及公差相对于代表平面的位置应予规定。 - 平面度公差:当表面两端点间允许凹和凸时。 - 凹(或凸):当表面两端点间只许凹(或者凸)时。 - 局部公差:当它被规定且允许凹或者凸时。

北航电气

电气工程 发布日期:2011-04-27 一级学科“电气工程”电气工程学科属北航建校时的首批建设学科,下设“电力电子与电力传动”、“电机与电器”和“电工理论新技术”等3个二级学科。1953年开始招生本科生,1981年获首批“航空电气工程”学科硕士学位授予权,2006年“电机与电器”学科获得博士学位授予权。 电力电子与电力传动 该学科是一个与电能的变换、控制、输送、存储密切相关的应用基础学科。综合了电能变换、电磁理论、控制理论、电子技术、测试技术、计算机等学科的知识。 主要研究方向: 电力电子技术在电力系统中的应用 电力传动及其自动控制系统 电力电子装置与系统的故障自动诊断与容错控制 可再生能源离网和并网发电中的应用 电机与电器 该学科主要研究电机与电器及其它电磁与机电控制装置的理论、实施机电能量转换及控制装置的设计及运行规律。 主要研究方向: 电机电器动态分析及电磁场分析 特种电机及其控制 智能电器 电机电器参数测试及故障诊断 电工理论与新技术 该学科主要研究电气工程领域中电磁现象、规律及其应用,它既是电气工程及其相关学科的基础学科,又是一些边缘学科和交叉学科的生长点。 主要研究方向: 电磁场理论及其新技术 电工理论在无损检测、生物医学工程和测控中的应用 电气信息技术 就业 自动化科学与电气工程学院设有自动化(自动控制与信息技术)和电气工程及其自动化两个通识教育基础上的宽口径专业,适应数字化、综合化和智能化的发展趋势,在自动控制、信息技术与电气工程领域为国家培养高级工程技术和管理人才。 自动化专业是国防科工委重点专业,本专业适应数字化、综合化和智能化的发展趋势,以电为主、机电结合,以自动控制和计算机信息处理为基础进行特色专业教育。

ZYGO干涉仪GPI-XP-D使用说明

ZYGO干涉仪使用说明 1.0 目的 制定本文件是为了详细说明如何使用ZYGO干涉仪测量平面、球面、柱面晶体元件的曲率半径、面形(平行度、平面度)、以及透过波前畸变,并提高检验过程的准确性和可重复性。 2.0 范围 本文件涉及用ZYGO干涉仪检测平面、球面、柱面元件的一般方法。 3.0 记录 在检验过程中将会生成以下记录: 3.1干涉图(保存文件名为*.Tif),在实时窗口上点击保存。 3.2测试数据(保存文件名为*.Dat),测试完成后点击SAVE DATE 保存。 4.0 相关文件 4.1与本文件相关的文件有: ?待测零件图纸 5.0 定义 5.1 应用(application)

应用是ZYGO干涉仪中一系列功能的组合,保存为后缀名为“*.app”的文件。不同的应用用于不同项目的测量。比较常用的是GIP.app用于一般的平面和球面的测量,GPI-Cylinde.app用于柱面面形的测量,Angle.app用于平行角度的测试。 5.2 猫眼像(cateye) 又称为标准镜的像。标准镜的出射光在焦点处被返回时出现的干涉条纹,是透过干涉仪的光线与和它对称的标准面之间的干涉图形。 5.3 镜片像 从标准镜出射的光在整个零件表面被原路反射回来与标准面的反射光发生干涉产生的干涉图形。包含待测零件的表面或波前信息,是面形检测的主要信息来源。 5.4 升降台 可以升降的平台,带有小倾角调节功能,一般用于放置平面元件。 5.5 Align/View 模式 按下控制盒上的align/view切换的2个模式之一。align模式可以看到一个黑色固定的十字线和反射回干涉仪的光点,一般用于零件对准,特点是视场较大。View模式是按下控制盒上的align/view切换的2个模式之一,可以看到干涉条纹,特点是放大率较高,但是视场较小。一般在align界面对准后在view界面观察条纹。 5.6 标准镜

相关文档
最新文档