信号源的设计与制作

信号源的设计与制作
信号源的设计与制作

通信信号测试报告

学院:电子与信息工程学院学生姓名:

专业班级:13移动通信技术1班学号:

2015年01月03 日

摘要

信号发生器简称为信号源,它能产生标准的信号,常运用于电子实训室及工业中。它能提供已知波形、频率、幅度大小输出电压和电流信号,为测量各种模拟系统和数字系统提供不同的信号源。

函数信号发生器即简易函数信号发生器,是一种能够产生多种波形,如方波、正弦波等波形的电路。在电路实验和设备检测中具有十分广泛的用途。通过对函数信号发生器的原理及构成分析,可设计一个能够变换出三角波、正弦波、方波的简易发生器。

函数信号发生作为一种常用的信号源,在电子电路、自动控制系统及实验室等领域有很大作用。采用AT89C51单片机和键盘电路作为核心控制,8255作为显示芯片,双DAC0832控制输出波形参考幅值构成的发生器可产生正弦波等多种波形。由内部程序设定频率和幅值,在键盘控制下输出相应波形。以最经济,最方便,最优化的设计策略来完成课题的要求。

关键词:函数信号发生器;AT89C51;DAC0832

Abstract

Signal generator referred to as the source,it can produce standard signal,often used in electronic training room and industry. It can provide known waveform amplitude,frequency,the size of the output voltage and current signals,for measuring various simulation system and digital system provides different source.

Function signal generator that is simple function signal generator,it is a kind of can produce a variety of waveform,such as square,sine wave and waveform of the circuit. In circuit experiment and test equipment in a very wide range of applications. Through the function signal generator of the principles and components of the analysis,a design can transform the triangular wave,sine wave,square wave simple generator.

Function signal occurred as a common source,in the electronic circuit,automatic control system and laboratory and other fields have a great effect. The AT89S51 and keyboard circuit as the core control,8255 as a display chip,double DAC0832 control output waveform amplitude reference a generator can produce sine wave and so on many kinds of waveform. The internal program set frequency and amplitude,the keyboard control output corresponding waveform. In the most economic,most convenient and optimization design strategy to complete the project requirements.

Key Words: Function signal generator;AT89C51;DAC0832

目录

摘要................................................................................................................................... I Abstract ............................................................................................................................. II 引言. (1)

第1章方案及设计 (2)

1.1设计与制作 (2)

1.2发生器的技术指标 (2)

1.3操作设计 (2)

第2章硬件结构 (3)

2.1单片机模块 (3)

2.2键盘显示器接口电路 (4)

2.3 D/A电路 (4)

2.4电源电路 (5)

第3章软件结构 (6)

3.1人机交互模块 (6)

3.1.1 LED显示子模块 (6)

3.1.2 键盘扫描处理子模块 (6)

3.2波形形成模块 (10)

总结 (11)

参考文献 (12)

致谢 (13)

附录1 电路图,实物图及仿真图 (14)

引言

随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更使信号发生器向着自动化、智能化方向发展。现在,自检,自动故障检测等功能层出不穷。而且在科学研究及日常生活中有了很大的使用价值;且其波形信号也不例外。例如电视机等仪器,常用锯齿波作为时基电路来显示图像的。信号发生器作为一种通用的电子仪器,在生产、科研、测控等领域都有广泛的应用。但市面上的仪器在宽带,频率精准及波形种类远远达不到实际的要求。加之各类功能的半导体集成芯片的快速生产,促使我们研制一种低功耗,宽频带的信号发生器成为可能。

第1章方案及设计

方案一:采用函数信号发生器ICL8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,虽采用其他的措施虽可滤除一些,但不能完全滤除掉。

方案二:采用AT89C51单片机和DAC0832数模转换器生成波形,由于是软件滤波,所以不会有寄生的高次谐波分量,生成的波形比较纯净。而且它的特点是价格低、性能高,在低频范围内稳定性好、频率调节方便、操作简易。

经比较,方案二既可满足课题设计的基本要求又能充分发挥其优势,电路简单,易控制,性价比高,所以采用该方案。

1.1设计与制作

1.采用单片机作为控制核心;

2.以DAC0832作为D/A转换电路元件;

3.经过运放输出波形。

1.2发生器的技术指标

1.波形类型:方波、正弦波;

2.输出极性:双极性;

3.幅值电压:1V、2V、3V、4V、5V;

4.频率值:10HZ、20HZ、50HZ、100HZ、200HZ、500HZ、1KHZ;

1.3操作设计

1.使系统处于初始化状态。此时,无任何信号输出。

2.进入频率,幅值波形设置,使系统进入设置状态,相应的数码管显示“一”,此时,按其它键,无效。

3.在进入某一设置状态后,输入0~9数字键(数字键仅在设置状态时有效),设置波形相应参数,并将参数显示在面板上。

4.如在设置途中,要使系统恢复初始状态或改变参数,可按下“CL”键,LED将显示6个0,等待重新输入命令。

第2章硬件结构

经研究对比,选取了具广泛应用,性价比高的常用芯片来构成硬件电路。单片机采用MCS-51系列的89C51,74LS244和74LS373各一块,一块反相驱动器ULN2803A,一块运算放大器LM324。键盘显示器接口电路、单片机、D/ A电路和电源线路等四部分构成了波形发生器的硬件电路。

2.1单片机模块

1.功能:

(1)形成扫描码,键值识别,键功能处理,完成参数设置;

(2)形成显示段码,向LED显示接口电路输出;

(3)产生定时中断;

(4)形成波形的数字编码,并输出到D/A接口电路。

2. 89C51的P0口和P2口作为扩展I/O口,与8255、0832、74LS373相连接,可寻址片外的寄存器。单片机寻址外设,采用存储器映像方式,内部存储器与外部接口芯片统一编址,89C51提供16根地址线P0(分时复用)和P2,P2口提供高8位地址线,P0口提供低8位地址线。P0口同时还要负责与8255,0832的数据传递。P2.7是8255的片选信号,P2.6是0832(1)的片选,P2.5是0832(2)的片选,低电平有效,P0.0、P0.1经过74LS373锁存后,送到8255的A1、A2做片内A口,B口,C口,控制口等寄存器的字选。89C51的P1口的低4位连接4只发光三极管,以作为波形类型输出的指示灯。

3. 在波形发生器中,单片机89C51内部有两个定时器/计数器是使用T0作为中断源。不同的频率值对应不同的定时初值,中断请求通过定时器的溢出信号表现出来。

控制定时器中断的特殊功能寄存器设置如下:

定时控制寄存器TCON=(00010000)

中断允许控制寄存器(IE)=(10000010)

工作方式选择寄存器(TMOD)=(00000000)

LED显示

AT89C51

D/A 运放

键盘控制输入

图2-1 单片机模块图

2.2键盘显示器接口电路

1.功能:

(1)驱动6位数码管动态显示;

(2)提供响应界面;

(3)扫面键盘;

(4)提供输入按键。

2.由并口芯片8255,反向驱动器ULN2803A,锁存器74LS273,74LS244,4×4行列式键盘和6位共阴极数码管(LED)构成。键盘的I/O接口接8255的C口,C口的低4位输出到扫描码,高4位作为输入行状态,硬件的分布如图2-1所示。8255的A口作为LED段码输出口,与74LS244相连接,B口为LED的位选信号输出口,与ULN2803A相连接。8255内部的4个寄存器地址分配如下:控制口:7FFFH ,A 口:7FFFCH ,B口:7FFDH ,C口:7FFEH

2.3 D/A电路

1.功能:对波形样值的数模转换并拟值后,完成单极性向双极性的波形输出;

2.由两片0832和一块LM324运放组成。0832(1)是参考电压提供者,单片机向0832(1)内的锁存器送数字编码,不同的编码产生不同的输出值,在本发生器中,可输出1V至5V等五个模拟值,并作为0832(2)的参考电压,以便调节其波形幅度。0832(2)用于产生各种波形信号,单片机在波形产生程序的控制下,生成波形样值编码,并送到0832(2)中的锁存器,经过D/A转换,得到波形的模拟样值点,就0832(2)输出N个样值点后,样值点形成运动轨迹,即形成一个周期。循环输出N个点后,便形成第二个周期,第三个周期……。这样0832(2)就能连续的输出周期变化

的波形信号。地址分配:0832(1):DFFFH,0832(2):BFFFH

2.4 电源电路

1.功能:提供直流电源;

2.由变压器、整流硅堆、稳压块7805构成。220V的交流电,经过开关,保险管(1.5A/250V),到变压器降压,由220V降为10V,通过硅堆将交流电变成直流电,对于谐波,用4700μF的电解电容给予滤除,用7805进行稳压,最后,各用电负载另加5V电源。

第3章 软件结构

由人机交互模块和波形产生模块构成;主程序为人机交互模块,管理单片机系统;中断服务程序为波形产生模块,实现波形输出系统。

(A )波形发生器的主流图 (B ) 定时中断服务流程图 图3-1 软件结构图 3.1人机交互模块

3.1.1 LED 显示子模块

LED 显示器的显示缓冲区于单片机内部RAM 中的40H~45H ,左边的第一只数码管显示40H 的内容,第二只数码管显示41H 的内容……。显示的段码是如何在显示缓冲区呢?在设计中,采用了查表的方式来形成。编写程序前,依据共阴极LED 显示器的工作原理,确定显示数字(1、2……9)的段码,按顺序编制成显示码表,存于ROM 中。在编程时,可用显示缓冲区内的数字,查表匹配段码。

3.1.2 键盘扫描处理子模块

用主程序调用子程序。(键值识别部分作为主程序,键功能处理部分作为子程序来工作。

1.扫描键盘,根据按下的键值读取扫描码和行状态码;

主流程 初始化 LED 显示 键盘输入处理 定时中断开始 判断波型 方 波 正弦波 三角波 锯齿波

中断返回

2.散转表依据键值,转换到相应的键功能处理部分;

3.进入键处理部分,完成键功能。

各功能键处理设计如下,“V ”键处理:将ROM46H 存储单元设为02H ,表示参考电压设定;将46H 存储单元置为#0AH ,使相应位的LED 显示“-”。处理流程图如3-2。

图3-2 V 键处理流程图 “W ”键处理,将设置标志区设为03H ,表示波形编号设定;将40H 存储单元置为#0AH ,使相对应的LED 显示“-”。处理流程图如3-3。

图3-3 W 键处理流程图

“F ”键:进入“KEY-F-PRO ”子程序段,将设置标志区(ROM46H )设为01H ,表示是频率参数设定;送42H 到R5中,41H 是频率值显示缓冲区首址;将41H 、42H 、43H 等存储单元送#0AH ,使LED 显示“---0”,最后退回到键盘主程序,处理流程图如图3-4。

开始

46H=02 返回扫描程序

开始

46H=03 返回扫描程序

开始

将设置标志ROM46H

设定为频率(01)

RS设定为41H

返回扫描程序

图3-4 V键处理流程图

“CL”键处理:将显示缓冲区ROM40H~45H的内容清零,使LED显示“000000”;将设置标志区ROM46H的内容清零,使其处于“无设置”状态;将定时器TO的初值清零,并停止TO的定时运行,使定时器TO不工作;之后,退回主程序,处理流程图3-5

开始

显存内容清零

设置标志清零

定时初值清零

停止定时运行

返回扫描程序

图3-5 CL键处理流程图

“0~9”键处理:先查看设置标志区46H的内容有无设置;若有,则判断是频率设置或参考电压设置,或是波形编号设置,确定好某种设置后,进而设定操作,即将0~9

送到显存相应的地址单元。若无,则直接退回到主程序。

“EN ”键处理:首先检查W 、V 、F 等参数值是否已全部设置,如果已经全部设置;(1)从ROM45H 取出参考电压值,通过查表,获得电压的数字编码,送到0832

(1),产生输出,作为0832(2)参考电压;(2)从ROM41H~43H 中取频率值,判定该值,根据判断结果,进入相应的程序段,实现“频率与定时初值的转换”,完成TMOD 的设定和初值设定;(3)开启定时中断,向R6赋于样值初始编号;最后,退回到主程序,处理流程图3-6。

图3-6 处理流程时钟图

向0832(1)送电压编码,0832(1)输出参考电压

开始 W 、V 、F 都设置好了吗? 频率值判断 TMOD 设定 定时初值设定 开启定时中断 赋以样值初始编返回扫描程序

3.2波形形成模块

设计思想:

(1)将一个周期T 的信号分离成32个点,用单片机的定时器产生每两点之间的时间间隔ΔT 。

(2)把对应的三种波形的32个数据存放在以TAB1~TAB3为起始地址的存储器中。

中断服务流程图如图3-7及波形产生流程图如图3-8所示。

图3-7中断服务流程图 图3-8波形产生流程图 开始 保护现场 波形类型值送R7 恢复现场 调用波形产生子程序 返回主程序 开始 查表获得样值编 将样值编码送 形成下一个样值 样值编号等 样值编号清零 返回中断服务

总结

本论文设计是基于单片机的信号发生器,主要是以软件、硬件相结合的设计理念来实现输出正弦波,方波等波形。

设计过程中利用单片机控制一个模数转换器DAC0832产生所需要的电流,然后使用运算放大器LM324可以将其电流输出线性地转换成电压输出,再将电压经过运算放大器的放大,便得到稳幅的信号。在程序的控制下,产生了一系列有规律的波形。这样的信号发生装置在控制领域有很大的应用领域。

通过这段时间的不懈努力与切实追求,终于完成了毕业设计。在实践过程中,掌握了元件的识别、测试及仪表仪器;了解了电路的连接、焊接方法;以及如何提高电路的性能。使我深刻感受到“纸上得来终觉浅,绝知此事要躬行。”的学习态度;深切体会到学习知识,仅从理论上去求知,而不去实践、探索是不够的。不仅要做到学以致用,还要学会在实践中探索、追求。

并把自己所学单片机方面的知识用于实践,提高我们的动手操作能力,也使我能够初步掌握一些分析、解决问题的方法;致使我感受到理论问题转化到实际操作所要经过的过程和两者之间的差距。

参考文献

[1] 沙占友,孟志勇.单片机外围电路设计 [M].北京:电子工业出版社,2006.

[2] 何立民.单片机应用技术选编 [M].北京:北京航天航空大学出版社,2006.

[3] 楼然苗,李光飞.51系统单片机设计实例 [M].北京:北京航空航天大学出版社,

2003.

[4] 求是科技.单片机典型模块设计实例导航 [M].北京:人民邮电出版社,2004.

致谢

毕业设计是我大学学习的结果向学校、社会交出的一张总结的答卷。它是对大学三年所学知识的总结,是理论与实践相结合的一次尝试,是衡量我三年学习成果的一个标准。

本研究论文是在谢志能老师的亲切关怀及悉心指导下完成的。他严肃的科学态度,严谨的治学精神,精益求精的工作作风,深深地感染和激励着我。从毕业设计的准备到结束,他在过程中给予了我多方面的支持和帮助。在老师的大力帮助下,使我快速的解决了所遇到的问题和困难,也使得我从中学习到许多知识及做人的道理,增强了我自主学习和动手的能力,为我今后步入工作岗位打下了良好的铺垫。

通过此次的毕业设计,使我加深了所学的本专业知识,更熟练了本专业的技能操作。在设计过程中,通过查阅有关单片机等方面的资料,拓宽了我的知识面,并对单片机的知识有了总体的概括。这使我深刻的体会到基础的理论知识与实际运用还是存在差异的,实际应用中还要考虑各个方面的因素,这给我以后的学习和工作奠定了坚实的基础。

最后,再次对关心、帮助我的老师和同学表示衷心地感谢!

附录1 电路图、实物图及仿真图

下图是通过Proteus软件仿真实现的,在不同的按键控制下输出的不同波形。

方波

正弦波

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

直流稳压电源的项目设计方案

直流稳压电源的项目 设计方案 (一)设计目的 1、学习直流稳压电源的设计方法; 2、研究直流稳压电源的设计方案; 3、掌握直流稳压电源的稳压系数和阻测试方法; (二)设计要求和技术指标 1、技术指标:要求电源输出电压为±12V(或±9V /±5V),输入电压为交 流220V,最大输出电流为I omax =500mA,纹波电压△V OP-P ≤5mV,稳压系数Sr≤5%。 2、设计基本要求 (1)设计一个能输出±12V/±9V/±5V的直流稳压电源; (2)拟定设计步骤和测试方案; (3)根据设计要求和技术指标设计好电路,选好元件及参数; (4)要求绘出原理图,并用Protel画出印制板图; (5)在万能板或面包板或PCB板上制作一台直流稳压电源; (6)测量直流稳压电源的阻; (7)测量直流稳压电源的稳压系数、纹波电压; (8)撰写设计报告。 3、设计扩展要求 (1)能显示电源输出电压值,00.0-12.0V; (2) 要求有短路过载保护。 (三)设计提示 1、设计电路框图如图所示 稳压电路若使用分离元件要有取样、放大、比较和调整四个环节,晶体管选用3DD或3DG等型号;若用集成电路选78XX和79XX稳压器。 测量稳压系数:在负载电流为最大时,分别测得输入交流比220V增大和减小10%的输出Δvo,并将其中最大一个代入公式计算Sr,当负载不变时,Sr=ΔVoV I / ΔV I V O 。 测量阻:在输入交流为220V,分别测得负载电流为0及最大值时的ΔVo,r o = ΔV O /ΔI L 。 纹波电压测量:叠加在输出电压上的交流分量,一般为mV级。可将其放大 后,用示波器观测其峰-峰值△V OP-P ;用可用交流毫伏表测量其有效值△V O ,由

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

信号发生器设计书

题目名称:信号发生器(一)姓名:姚添珣 班级:电气N112班 学号:201145679204 日期:2013/7/4

模拟电子技术课程设计任务书 适用专业:电气工程及自动化专业 设计周期:一周 一、设计题目:信号发生器(一) 二、设计目的 1、研究正弦波等振荡电路的振荡条件。 2、学习波形产生、变换电路的应用及设计方法以及主要技术指标的测试方法。 三、设计要求及主要技术指标 设计要求:设计并仿真能产生方波、三角波及正弦波等多种波形信号输出的波形发生器。 1、方案论证,确定总体电路原理方框图。 2、单元电路设计,元器件选择。 3、仿真调试及测量结果。 主要技术指标 1、正弦波信号源:信号频率范围20Hz~20kHz 连续可调;频率稳定度较高。信号幅度可以在一定范围内连续可调; 2、各种输出波形幅值均连续可调,方波占空比可调; 3、设计完成后可以利用示波器测量出其输出频率的上限和下限,还可以进一步测出其输出电压的范围。 四、仿真需要的主要电子元器件 1、运算放大电路 2、滑线变阻器 3、电阻器、电容器等 五、设计报告总结(要求自己独立完成,不允许抄袭)。 1、对所测结果(如:输出频率的上限和下限,输出电压的范围等)进行全面分析,总结振荡电路的振荡条件、波形稳定等的条件。 2、分析讨论仿真测试中出现的故障及其排除方法。 3、给出完整的电路仿真图。 4、体会与收获。

第1章方案论证与比较 1.1 方案提出 方案一: 首先由RC桥式正弦波振荡器产生正弦波信号,然后用迟滞比较器将正弦波信号转换为方波信号,最后经过积分器将方波信号转换为三角波信号。 正弦波方波三角波 方案二: 首先,(比较器和积分器组成方波-三角波产生电路)把迟滞比较器和积分器首尾相接形成正反馈闭环系统,则比较器输出的方波经积分器积分可得到三角波,三角波又触发比较器自动翻转形成方波,最后通过差分放大器将三角波信号转换为正弦波信号。 方波三角波正弦波 方案三:

基于dds的实用信号源的设计与制作 完美版

摘要 信号发生器是一种能产生标准信号的电子仪器,是工业生产和电工、电子实验室中经常使用的电子仪器之一。本文采用分立元器件设计了可输出正弦波和脉冲波的信号发生器,介绍了信号发生器的工作原理、电路参数计算方法、电路仿真结果,并进行了电路制作。 所设计的信号发生器由振荡电路、稳幅电路、正弦波调幅电路、电压比较电路、脉冲波调幅电路组成。采用RC振荡方式产生振荡信号,通过二极管IN4148和运放TL082实现振荡信号稳幅,调幅之后输出正弦波信号,再经电压比较器和调幅电路实现脉冲波的占空比和幅度的变化。采用了多级电阻和多级双联电位器实现频率的分段和步进。 本文设计的信号发生器具有结构简单、成本低、体积小等特点,经仿真和实际电路制作验证,其产生的正弦波和脉冲波频率、占空比、信号幅度可调,频率步进5Hz,矩形波可步进调整占空比, 不影响频率, 步长小于1%, 波形有较好的边沿特性。 关键词:信号发生器;频率歩进;占空比

一、实用信号源的设计和制作任务 在给定±15V电源电压条件下,设计并制作一个正弦波和脉冲波信号源。 二、要求 1.基本要求 (1)正弦波信号源 ①信号频率:20Hz~20kHz步进调整,步长为5Hz ②频率稳定度:优于10-4 ③非线性失真系数≤3% (2)脉冲波信号源 ①信号频率:20Hz~20kHz步进调整,步长为5Hz ②上升时间和下降时间:≤1μs ③平顶斜降:≤5% ④脉冲占空比:2%~98%步进可调,步长为2% (3)上述两个信号源公共要求 ①频率可预置。 ②在负载为600Ω时,输出幅度为3V。 ③完成5位频率的数字显示。

2.发挥部分 (1)正弦波和脉冲波频率步长改为1Hz。 (2)正弦波和脉冲波幅度可步进调整,调整范围为100mV~3V,步长为100mV。 (3)正弦波和脉冲波频率可自动步进,步长为1Hz。 (4)降低正弦波非线性失真系数。 三、评分标准 项目 得 分 基本要求设计与总结报告:方案设计与论证,理论计 算与分析,电路图,测试方法与数据,结果 分析 50 实际制作完成情况50 发挥部分完成第一项10 完成第二项10 完成第三项 5 完成第四项 5 特色与创新20

电子电工直流整流稳压电源与信号源设计性实验

设计题目:电子电工设计性实验 院部:长江学院机电学院 班级: 1232601 专业:机械电子工程 姓名: * * * 学号: 201230260102 指导教师:夏老师 2015年01月15日

前言 本实验是电子电工综合性实验设计,我们要充分理解并掌握了直流稳压电源设计的过程方法,特别是在实验过程中我们相互帮助学习,提高了自我学习的能力,也提高的团队协作的能力,在试验中,我们自己学会去解决问题,发现问题,相信对以后的学习会有很大帮助。另外,通过本实验,我们学会了直流电压源的设计方法。 一实验目的: 1.学会选择变压器、整流二极管、滤波电容及集成稳压器来设计直流稳压电源 2.掌握直流稳压电源的调试及主要技术指标的测量方法 3.熟悉集成稳压电源芯片的特点,能合理选择使用; 4.熟悉掌握信号发生器的使用方法; 4.提高电路布局,焊接装配技能,训练识图能力; 6.掌握IN555的工作原理; 二实验器件与工具 二极管IN4007 4个电线电容 100uf/ 25v1个1uf/50v 1个7805 1个电阻1k 2个 56k 1个470k 1个发光二极管 2个万能板 1个555芯片座 1个电焊铁1个剪刀1把镊子1个焊条1根 三实验工作原理图 直流稳压电源是一种将220V工频交流电转换成稳压输出的直流电压的装置,它需要变压、整流、滤波、稳压四个环节才能完成,见图1。

图1 四实验电路图 本次实验的电路图见图2 图2 五实验原理 (1)直流稳压电源:

直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压器把市电交流电压变为所需要的低压交流电。整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压等于+12V。 1 设计任务和设计指标: 设计一个直流稳压电源,要求: a 输入电压为交流220V,输出直流电压:Uo=+12V b 最大输出电流:Iomax=500mA c 纹波电压(输出电压变化量)△VOP-P≤5mV d 稳压系数:Sr≤5% 其中: (1)电源变压器:是降压变压器,它将电网220V交流电压变换成符合需要的交流电压,并送给整流电路,变压器的变比由变压器的副边电压确定。 (2)整流电路:利用单向导电元件,把50Hz的正弦交流电变换成脉动的直流电。 (3)滤波电路:可以将整流电路输出电压中的交流成分大部分加以滤除,从而得到比较平滑的直流电压。 (4)稳压电路:稳压电路的功能是使输出的直流电压稳定,不随交流电网电压和负载的变化而变化。

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

实用信号源的设计和制作(DOC)

实用信号源的设计和制作 目录 第1章设计任务书 (1) 1.1任务 (1) 1.2要求 (1) 第2章总体方案设计 (2) 2.1本设计总体方案 (2) 2.2正弦波信号生成方案 (2) 2.2.1振荡信号的生成方法 (3) 2.2.2RC振荡原理与振荡条件 (3) 2.2.3振荡电路的稳幅方法 (5) 2.3频率步进方案 (6) 第3章电路设计和仿真分析 (8) 3.1RC振荡与稳幅电路设计 (8) 3.1.1电路参数计算 (8) 3.1.2电路仿真与分析 (10) 3.2正弦波调幅电路设计 (11) 3.2.1电路参数计算 (11) 3.2.2电路仿真与分析 (12) 3.3脉冲波生成电路设计 (13) 3.3.1电路参数计算 (13) 3.3.2电路仿真与分析 (14) 3.4频率计的设计 (18) 第4章设计总结 (20) 参考文献 (21) 附录Ⅰ仿真电路图 (22) 附录Ⅱ 10MHZ频率计 (23)

第1章设计任务书 1.1任务 在给定±15V电源电压条件下,设计并制作一个正弦波和脉冲波信号源。 1.2要求 1.基本要求 (1)正弦波信号源 ① 信号频率:20Hz~20kHz步进调整,步长为5Hz ② 频率稳定度:优于10-4 ③ 非线性失真系数≤3% (2)脉冲波信号源 ① 信号频率:20Hz~20kHz步进调整,步长为5Hz ② 上升时间和下降时间:≤1μs ③ 平顶斜降:≤5% ④ 脉冲占空比:2%~98%步进可调,步长为2% (3)上述两个信号源公共要求 ① 频率可预置。 ② 在负载为600Ω时,输出幅度为3V。 ③ 完成5位频率的数字显示。 2.发挥部分 (1)正弦波和脉冲波频率步长改为1Hz。 (2)正弦波和脉冲波幅度可步进调整,调整范围为100mV~3V,步长为100mV。(3)正弦波和脉冲波频率可自动步进,步长为1Hz。 (4)降低正弦波非线性失真系数。

数控直流电源设计

数控直流稳压电源1)输出电压:范围0~+9.9V,步进0.1V,纹波不大于8mV。2)输出电流:500mA。 3)输出电压值用数码管LED显示。 4)用+、—两键分别控制输出电压的步进增减。 5)为实现上述几个部件工作,自制一台稳压直流电源,输出+ 、-15V、+5V。 发挥部分:1)输出电压可预置在0~9.9V之间的任何一个值。 2)用自动扫描代替人工按键,实现输出电压变化(步进0.1V不变)。 3)扩展输出电压种类(如三角波等)。 #include #include #define uchar unsigned char #define uint unsigned int #define DataPort P2 sbit LCM_RS=P1^5; sbit LCM_RW=P1^6; sbit LCM_EN=P1^7; sbit K1=P3^4; sbit K2=P3^2; sbit K3=P3^0; sfr P1ASF=0x9D; sfr ADC_CONTR = 0xbc; sfr ADC_RES = 0xbd; sfr ADC_RESL= 0xbe; void GET_AD_Result(); void AD_init( ); extern void WriteCommandLCM(uchar CMD,uchar Attribc); extern void InitLcd(); extern void DisplayoneChar(unsigned char X,unsigned char Y,unsigned char DData); extern void DisplayListChar(uchar X,uchar Y,uchar code *DData); unsigned char code dispcode[]={0x30,0x31,0x32,0x33,0x34,0x35,0x36,0x37,0x38,0x39}; unsigned char dispbuf[8]={0,0,16,0,0,16,0,0};

信号发生器设计(附仿真)

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器 A 1 输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

基于FPGA的实用多功能信号发生器的设计与制作

基于FPGA的实用多功能信号发生器 的设计与制作

基于FPGA的实用多功能信号发生器的设计与制作 摘要 多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数信号发生器的研究背景和DDS的理论。然后详尽地叙述了利用Verilog HDL描述DDS模块的设计过程,以及设计过程中应注意的问题。文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分Verilog HDL代码以及利用Modelsim仿真的结果。 文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器的大部分功能。包括由LCD显示和按键输入构成的人机界面和多种信号的发生。数字模拟转换器是BURR-BROWN 公司生产的DAC902。 该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。 关键词:信号发生器;DDS;FPGA;DE2

Practical FPGA-based multi function signal generator design and production Abstract Multi function signal generator has become the most widely used in modern testing field of general instrument, and has represented one of the development direction of the source. Direct digital frequency synthesis (DDS) is a totaly digital frequency synthesis technology, which been put forward in the early 1970s. Using a look-up table method to synthetic waveform, it can satisfy any requirement of waveform produce. Due to the field programmable gates array (FPGA) with high integrity, high speed, and large storage properties, it can realize the DDS technology effectively, increase signal generator’s performance and reduce production costs. Firstly, this article introduced the function signal generator of the research background and DDS theory. Then, it described how to design a DDS module by Verilog HDL, and introduced various signal occurs theory, method and the implementation process, Verilog HDL code and simulation results. This paper also introduces the function of DE2 multimedia development platform, and completed most of the functions of multi-function signal generator on DE2 platform finally. Including the occurrence of multiple signal and the man-machine interface which composed by LCD display and key input. Digital-to-analog converters is DAC902, which produced by company BURR-BROWN. This signal generator can output eight different kinds of signals, and the frequency of the output signal, phase and modulation frequency signal also can be modifyed. Key Words: Signal generator; DDS; FPGA; DE2

实用信号源的设计和制作

实用信号源的设计和制作(综合课程设计报告)

目录 一、实验要求 (3) 1. 任务: (3) 2. 技术指标: (3) 3. 要求: (4) 4. 主要参考元件: (4) 二、方案设计与论证 (4) 【总体方案设计】 (4) 【可行性分析】 (4) 1.信号发生芯片选取 (4) 2.频率调节与方波占空比调节 (5) 3.测频与数码管显示 (7) 三、理论分析与计算 (7) 1) ICL8038外围电路电阻电容选取 (7) 2)LM318运算放大部分 (9) 3)NE555时基电路 (9) 4)CD4026时序分析 (10) 四、测试方法、数据及现象 (11) 五、问题分析及解决 (18) 六、实验总结 (20)

一、实验要求 1. 任务: 在给定15V电源电压条件下, 设计并制作一个信号源。 2. 技术指标: 【要求1】: (1) 正弦波信号源(20HZ~10KHZ) a、信号频率:20HZ~10KHZ连续可调; b、频率稳定度:优于10-4 c、非线性失真系数:≤3%; (2) 脉冲波信号源(20HZ~10KHZ) a、信号频率:20HZ~10KHZ连续可调, b、上升和下降时间:≤1us; c、平顶斜降:≤ 5%。 d、脉冲占空比:2%到98%连续可调。 (3) 上述两个信号源公共要求 a、频率可预置, b、在负载为600Ω时,输出幅度为3V, c、完成5位频率的数字显示 d、在信号频率:20HZ~10KHZ连续可调时,占空比不变,波形对称不失真。 【要求2】 1)正弦波和脉冲波频率可连续调整。 2)正弦波和脉冲波幅度可调整,调整范围可分为3档,(100mV~1V,1V~2V, 2V~3V), 在负载为600Ω时。(峰-峰值6V)

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

基于max038的信号发生器设计说明

一、课题名称:函数信号发生器 二、主要技术指标(或基本要求): 1)能精密地产生三角波、锯齿波、矩形波(含方波)、正弦波信号。 2)频率范围从0.1Hz~20MHz,最高可达40MHz,各种波形的输出幅度均为2V(P-P)。 3)占空比调节范围宽,占空比和频率均可单独调节,二者互不影响,占空比最大调节范围是 15%~85%。 4)波形失真小,正弦波失真度小于0.75%,占空比调节时非线性度低于2%。 5)采用±5V双电源供电,允许有5%变化范围,电源电流为80mA,典型功耗400mW,工作温 度范围为0~70℃。 6)内设2.5V电压基准,可利用该电压设定FADJ、DADJ的电压值,实现频率微调和占空比调 节。 7)低阻抗定压输出,输出电阻典型值0.1欧姆,具有输出过载/短路保护。 三、主要工作内容:方案设想,MAX038,OP07,电路原理等资料查询准备。电路原理图设 计绘制,面包板验证设计可行性。之后进行PCB板设计调整,电路板定制,元件采购;裸板 测试,焊接,整机测试。实验设计进行报告反馈 四、主要参考文献: [1]赵涛,辛灿华,姚西霞,陈晓娟,基于MAX038的多功能信号发生器的设计。《机电产品 与创新》 2008.07 [2]蒋金弟,朱永辉,毛培法。MAX038高频精密函数信号发生器原理及应用。《山西电子技 术》 2001 [3]黄庆彩,祖静,裴东兴.基于MAX038的函数信号发生器的设计[J].仪器仪表学报,2004,S1. [4]陈一新.单片高频函数发生器MAX038及其应用[J].中国仪器仪表,2002,04. [5]赵立民.电子技术实验教程[M].北京:机械工业出版社,2004

锯齿波信号发生器课程设计报告

锯齿波信号发生器的设计 技术指标要求: 频率f=500Hz ,V p-p =10V 。 该课题的内容: (一)原理结构说明 一、滞回比较器 在单限比较器中,输入电压在阈值电压附近的任何微小变化,R 都将引起输出电压的跃变,不管这种微小变化是来源于输入信号还是外部干扰。因此,虽然单限比较器很灵敏,但是抗干扰能力差。滞回比较器具有滞回特性,即具有惯性,因此也就具有一定抗干扰能力。从反相输入端输入的滞回比较器电路如图(a)所示,滞回比较器电路中引入了正反馈。 (b)电压传输特性 从集成运放输出端的限幅电路可以看出,uo =±U Z 。集成运放反相输入端电位u N =u I ,同相输入端电位 根据“虚短”u N =u P ,求出的u I 就是阈值电压,因此得出 U Z U Z R 1+R 2 u P = R 1 U Z ±U T = ± R 1

当u I<-U T,u N+U T,uo=-U Z。 当u I>+U T,u N>u P,因而uo=-U Z,所以u P=-U T。u I<-U T,uo=+U Z。 可见,uo从+U Z跃变为-U Z和uo从-U Z跃变为+U Z的阈值电压是不同的,电压传输特性如图(b)所示。 在我们所设计的锯齿波发生器中,滞回比较器由运放U1和电阻 Rb,R1,R4所组成。 通过由稳压管D1,D2和限流电阻R3构成的输出限幅电路,从而输出方波波 形。 其中调节电阻Rb,R1可改变锯齿波的幅值和一定范围的频率。调节滞回 比较器的稳幅输出D1,D2值,可调整方波输出幅值,可改变积分时间,从 而在一定范围内改变锯齿波的频率。 二、积分电路 如图所示的积分运算电路中,由于集成运放的同相输入端通过R’接 地,u N=u P=0,为“虚地”。 电路中电容C的电流等于流过电 阻R的电流 输出电压与电容上电压的关系为 u o=-u c 而电容上电压等于其电流的积分,故