计算机组成原理课后作业答案(包健第二版)完整

计算机组成原理课后作业答案(包健第二版)完整
计算机组成原理课后作业答案(包健第二版)完整

P156 4.1X=0.1101 Y=-0.0110(设机器数长度为8位)[X]补=0.1101000

[-X]补=1.0011000

[2X]补=溢出

[-2X]补=溢出

[X/2]补=0.0110100

[-X/2]补=1.1001100

[Y]补=1.1010000

[-Y]补=0.0110000

[2Y]补=1.0100000

[-2Y]补=0.1100000

[Y/2]补=1.1101000

[-Y/2]补=0.0011000

[-Y/4]补=0.0001100

P156:2、

(1)(2)

P156:4-4

6-1原码恢复余数算法

6-2原码加减交替算法

P156:4.8

阶码(5位补码)尾数(6位补码)(1)X=-1.625=-1.101B Y=5.25=101.01B X+Y

X-Y:

1、对阶同上

2、尾数相减:11.1100110

+ 11.01011

[E X-Y]补= 11.0010010

3、结果不需规格化

4、舍入处理:[E X-Y]补=1.00101

[X-Y] = 0,0011 1,00101

P108:9-1

阶码(5位移码)尾数(6位补码)(1)X=5.25=101.01B Y=-1.625=-1.101B X*Y

9-1 X/Y:

9-2 X*Y

9-2 X/Y

《存储体系》

P225:2、 (1) 片6416411616

64=?=??K K

(2) s ms

μ625.15128

2=

(3) s ns μ64500128=? P225:3、

(1) 最大主存容量=bit 16218

?

(2) 共需

片6416416416218=?=??bit

K bit

芯片;若采用异步刷新,则刷新信号的周期为s ms

μ625.15128

2= (3) 每块8字节,则Cache 容量为

行925128162==?Byte

bit

K ,即c=9,Cache 采用2路

组相联映射,则r=1。主存容量为

块161828162=?Byte

bit

,即m=16。每块包含4个字,每字包含2个字节。

标记行0标记行11

标记行21

标记行3

28

-1

标记

行29

-2

标记

行29 -1

Cache Cache

主存01 (2)

8

主存字块标记

组地址块内字地址

8

8

2

主存字地址

16

主存字块标记

组地址块内字地址8

8

2

主存字节地址

16

字内字节地址1

主存字地址=462EH=00 0100 01,10 0010 11,10;因此该字映射到Cache 的第139组。 (4) 分析地址:

A 15A 14A 13A 12A 11A 10A 9A 8A 7A 6A 5A 4A 3

A

2A 1A 0最小128K RAM

000

0000000000000

11

1

1

1

1

1

1

1

1

1

1

1

1111111111111111

1110

… …

… …

… …

A 17A 1600最高端8K ROM

11111

1

1

1保留区

128K 的RAM 区由片162881616128=?=??bit

K bit

K SRAM 芯片构成;分为8组,组与组之间

进行字扩展;每个组内有2片进行位扩展。

P225:5、

平均访问时间)()1()1()()1(p c m m c c m m c c c a t t t h h t t h h t h t ++?-?-++??-+?= 其中:t a —— 平均访问时间;t c —— Cache 的存储周期;t m —— 主存的存储周期;t p —— 磁盘的存储周期;h c —— Cache 的命中率;h m —— 主存的命中率。 所以,

ns

ns ns ns ns t ns ns ns ns t a a 74482.38.418)120080(%40%1080%60%1020%90=+++=+??+??+?=

P168:6、 命中率%5.97%100100

39003900

=?+=

h

平均访问时间

ns

ns ns ns ns t t h t h t a m

c a 45639240%5.240%5.97)1(=+=?+?=?-+?=

e = t c /t a =40/45=8/9

P168:7、

4路组相联映射,所以r=2 每块4字,所以b=2; 每字32位,所以每字包含4字节。 Cache 容量为

行行字节

字节

92512448==?K ,故c=9

主存容量为

块块字节

字节

20214416==?M M ,故m=20

标记行0标记行31

标记行4

标记行7

27

-1

标记

行29

-4

标记

行29 -1

Cache Cache 主存01 (213)

………

(1) Cache 共分为:2c-r = 29-2 = 128组。

(2)

主存字块标记

组地址块内字地址13

7

2

主存字节地址

20

字内字节地址2

P226:8、

4路组相联映射,所以r=2 每块64字,所以b=6; Cache 容量为

行字

72648=K ,故c=7 主存容量为

块字

12264256=K ,故m=12

标记行0标记行31

标记行4

标记行

7

25

-1

标记

行27

-4

标记

行27 -1

Cache Cache 主存01 (2)

7

………

主存字地址0~8447,位于主存的哪几块内呢?

132648448=字字,即主存的第0~8447字位于连续的132块内。4421325余商块

块=,因此这

132块连续分布在第0~4大组内,其中在第4大组中只有4块。 CPU 第1次依次访问主存的0~8447字时不命中132次。Cache 的变化如图所示

Cache

Cache

Cache

Cache

Cache

CPU 从第2次开始直到第21次访问主存的0~8447字时,每轮不命中20次(从第0~4大组的前4块都不命中,其余都命中),Cache 的变化如图所示

Cache

第0大组第1大组第2大组第3大组第4大组主存

因为10

m

c t t =

, 命中率%7.99%100177408

176876

%1002184482020132218448=?=???--?=

h

设使用Cache 比不使用Cache 在速度上提高x 倍,则

倍7.910

11)1(10)

()1(=??-+?=

+?-+?=

m

m m

c m c m

t h t h t t t h t h t x

《指令系统》

12、

地址 指令

0019H

001AH

第一条转移指令:

0019H 001AH

(PC )=001AH ,执行该JMP 指令后(

PC )=001BH+0006H=0021H

第二条转移指令:

0019H 001AH

(PC )=001AH ,执行该JMP 指令后(PC )=001BH+0025H=0040H 13、

寄存器-存储器型指令是指一个操作数来自寄存器,另一个操作数来自存储器。 (1)指令字长32位:

直接寻址时EA=ADDR ,因此主存最大存储空间为2字。(假设存储器按字编址) 间接寻址时EA=(ADDR ),因此操作数地址存放在主存地址为0~219-1的单元内,假设存储器按字编址,则EA 应该是32位的地址,则主存最大存储空间为232字。

(2)其实用32位通用寄存器做基址寄存器,类似于80X86机器上存储器分段管理。 EA=32位基地址+偏移地址,所以EA 是32位,则主存最大存储空间为232字。

16、设某机寄存器字长16位,用16进制表示,已知:变址寄存器内容为0004H ,PC 的内容为0003H ,内存中部分单元内容如下:

地址:内容 地址:内容 0002H :000AH 0007H :000AH 0003H :0002H 0008H :0002H 0004H :0007H 0009H :0003H 0005H :0004H 000AH :0009H

0006H :0005H 000BH :0008H

若当前指令分别为下列寻址方式时,试求出操作数填入下表。

某机器字长16位,采用单字长指令,每个地址码

6位。试采用操作码扩展技术,设计14条二地址指令,80条一地址指令,60条零地址指令。请给出指令编码示意图。 双操作数指令格式:

设某8位计算机,除HALT 指令外,指令均为双字长,格式如下:

其中,

假设:PC 的内容为22H ;变址寄存器R3的内容为30H ;主存部分单元的内容如下:

问:CPU 启动执行指令到停机,期间执行了哪几条指令?写出每条指令的功能、寻址方式、操作数及执行结果。

解:由于(PC )=22H ,即程序的第一条指令地址为22H ,从22H 开始,执行到2DH (HALT 指令)为止,共五条指令。经分析,所有指令的目的操作数的寻址方式均为寄存器寻址方式,为R1。下面列出每条指令的功能、源操作数的寻址方式、源操作数及执行结果。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理答案

第二章运算方法和运算器练习 一、填空题 1. 补码加减法中,(符号位)作为数的一部分参加运算,(符号位产生的进位)要丢掉。 2. 为判断溢出,可采用双符号位补码,此时正数的符号用(00)表示,负数的符号用(11)表示。 3. 采用双符号位的方法进行溢出检测时,若运算结果中两个符号位(不相同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10),表示发生负溢出。 4. 采用单符号位进行溢出检测时,若加数与被加数符号相同,而运算结果的符号与操作数的符号(不一致),则表示溢出;当加数与被加数符号不同时,相加运算的结果(不会产生溢出)。 5. 利用数据的数值位最高位进位C和符号位进位Cf的状况来判断溢出,则其表达式为over=(C⊕Cf)。 6. 在减法运算中,正数减(负数)可能产生溢出,此时的溢出为(正)溢出;负数减(正数)可能产生溢出,此时的溢出为(负)溢出。 7. 补码一位乘法运算法则通过判断乘数最末位Yi和Yi-1的值决定下步操作,当 YiYi-1=(10)时,执行部分积加【-x】补,再右移一位;当YiYi-1=(01)时,执行部分积加 【x】补,再右移一位。 8. 浮点加减运算在(阶码运算溢出)情况下会发生溢出。 9. 原码一位乘法中,符号位与数值位(分开运算),运算结果的符号位等于(两操作数符号的异或值)。 10. 一个浮点数,当其补码尾数右移一位时,为使其值不变,阶码应该(加1)。 11. 左规的规则为:尾数(左移一位),阶码(减1)。 12. 右规的规则是:尾数(右移一位),阶码(加1)。 13. 影响进位加法器速度的关键因素是(进位信号的传递问题)。 14. 当运算结果的补码尾数部分不是(11.0×××××或00.1×××××)的形式时,则应进行规格化处理。当尾数符号位为(01)或(10)时,需要右规。 15. (进位信号的产生与传递逻辑)称为进位链。

计算机组成原理与系统结构课后作业标准答案(包健-冯建文-章复嘉版)

《运算器》 P101 3.4写出下列各机器数的二进制真值X: (1)[X]补=0,1001 X=1001 (2)[X]补=1,1001X=-111 (3)[X]原=0,1101 X=1101 (4)[X]原=1,1101?X=-1101 (5)[X]反=0,1011?X=1011 (6)[X]反=1,1011?X=-100 (7)[X]移=0,1001 X=-111 (8)[X]移=1,1001X=+1001 (9)[X]补=1,0000000?X=-10000000B,X=-128 (10)[X]反=1,0000000 X=-1111111B,X=-127 (11)[X]原=1,0000000 X=-0 (12)[X]移=1,0000000 X=0 P156 4.1 X=0.1101?Y=-0.0110(设机器数长度为8位)[X]补=0.1101000 [-X]补=1.0011000 [2X]补=溢出 [-2X]补=溢出 [X/2]补=0.0110100 [-X/2]补=1.1001100 [Y]补=1.1010000 [-Y]补=0.0110000 [2Y]补=1.0100000 [-2Y]补=0.1100000 [Y/2]补=1.1101000 [-Y/2]补=0.0011000 [-Y/4]补=0.0001100

P102:3.8、 (1) X=-25/64=-0.011001B,Y =2.875=10.111B [X]浮=0,11111 1.11001=7F9H [Y]浮=1,00010 0.10111=897H (2) [Z]浮=9F4H=1,00111 1.10100=-80 P102:9、机器数字长16位 (1) 无符号整数:12 ~016 - (2) 原码表示的定点整数:1,111…11~0,111…11即12~)12 (1515 --- (3) 补码表示的定点整数:1,00…000~0,111…11即12~21515-- (4) 补码表示的定点小数:1.00…000~0.111…11即1521~1--- (5) 非规格化浮点数:E R M N ?= 最大数=1 2 77 2)21(--?- 最小数=1 272 1-?- 最大负数=7 27 22 --?- 最小正数=7 27 22 --? (6) 规格化浮点数: 最大数=1 2 77 2)21(--?- 最小数=1 2 7 2 1-?- 最大负数=7 2712)22(---?+-

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下: 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下: 4.假设主脉冲源频率为10MHz,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解: 5.如果在一个CPU周期中要产生3个节拍脉冲;T l =200ns,T 2 =400ns, T 3 =200ns,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2 、T 3 的宽度为时钟周期或者是时钟周期的倍数即可。 所以取时钟源提供的时钟周期为200ns,即,其频率为5MHz.;由于要输

出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下: 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:80条指令,平均每条指令由4条微指令组成,其中有一条公用微指令,所以总微指令条数为80? (4-1)+1=241条微指令,每条微指令32位,所以控存容量为:241?32位 7.某ALU 器件是用模式控制码M S 3 S 2 S 1 C 来控制执行不同的算术运算和逻辑操作。下表列出各条指令所要求的模式控制码,其中y 为二进制变量,φ为0或l 任选。 试以指令码(A ,B ,H ,D ,E ,F ,G)为输入变量,写出控制参数M ,S 3,S 2,S l ,C 的逻辑表达式。 解:

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理作业

计算机组成原理作业 1 某机主存容量为4M×16位,且存储字长等于指令字长,若该机指令系统能完成97种操作,操作码位数固定,且具有直接、间接、变址、基址、相对、立即等六种寻址方式。 (1)画出一地址指令格式并指出各字段的作用。 (2)该指令直接寻址的最大范围。 (3)一次间址和多次间址的寻址范围。 (4)立即数的范围(十进制数表示。) (5)相对寻址的位移量(十进制数表示)。 (6)上述六种寻址方式的指令哪一种执行时间最短?哪一种最长?哪一种便于用户编制处理数组问题的程序?哪一种便于程序浮动? 为什么? (7)如何修改指令格式,使指令的直接寻址范围可扩大到4M? (8)为使一条转移指令能转移到主存的任一位置,可采取什么措施?请简要说明。 2 假设某机的指令长度可变(长度在1~4个字节内变化)。而且CPU 与存储器的数据的传送宽度为32位(每次读取32位)。试问如何区分一个存储字包含多少条指令? 3 说明数据在存储器中可以按“边界对准”或“边界不对准”两种方式存放的特点,并分析其利弊。 4 假设指令字长为16位,操作数的地址码位6位,指令有零地址、一地址、二地址、三地址格式。

(1)设操作码固定,若零地址指令有M种,一地址指令有N种,则二地址指令最多有几种? (2)采用扩展操作码技术,二地址指令最多有几种? (3)采用扩展操作码技术,若二地址指令有P条,零地址指令有Q 条,则一地址指令最多有几种? 5 某机器采用一地址格式的指令系统,允许直接和间接寻址。机器配备有如下硬件: ACC、MAB、MDR、PC、X、MQ、IR以及变址寄存器R X和基址寄存器R B,均为16位。 (1)若采用单字长指令,共能完成105种操作,则指令可直接寻址的范围是多少?一次间址的寻址范围是多少?画出其指令格式并说明个字段的含义。 (2)若采用双字长指令,操作码位数及寻址方式不变,则指令可直接寻址的范围是多少?画出其指令格式并说明个字段的含义。(3)若存储字长不变,可采用什么方法访问容量为8MB的主存?需增设哪些硬件? 6 设相对寻址的转移指令占两个字节,第一个字节是操作码,第二个字节是相对位移量,用补码表示。每当CPU从存储器取出一个字节时,即自动完成(PC)+1—>PC。 (1)设当前PC值为3000H,试问转移后的目标地址范围是什么?(2)设当前PC值为2000H,要求转移到201BH,则转移指令的第二字节的内容是什么?

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理_在线作业_2

计算机组成原理_在线作业_2 交卷时间:2016-06-11 14:27:15 一、单选题 1. (5分) 用于对某个寄存器中操作数的寻址方式称为()寻址。 ? A. 间接 ? B. 寄存器直接 ? C. 寄存器间接 ? D. 直接 纠错 得分:5 知识点:4.4 典型指令 展开解析 答案B 解析 2. (5分) 程序查询方式的接口电路中应该包括()。 ? A. 设备选择电路、设备控制电路、设备状态标志 ? B. 设备选择电路、数据缓冲寄存器、设备控制电路

? C. 设备选择电路、数据缓冲寄存器、设备状态标志 ? D. 设备控制电路、数据缓冲寄存器、设备状态标志 纠错 得分:0 知识点:8.2 程序查询方式 展开解析 答案C 解析 3. (5分) 1946年研制成功的第一台电子数字计算机称为(),1949年研制成功的第一台程序内存的计算机称为()。 ? A. ENIAC , UNIVACI ? B. EDVAC ,MARKI ? C. ENIAC , MARKI ? D. ENIAC , EDSAC 纠错 得分:5 知识点:1.1 计算机发展概述 展开解析 答案D 解析 4. (5分) 以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输

率最高的是()。 ? A. 闪速存储器 ? B. SRAM ? C. EPROM ? D. DRAM 纠错 得分:5 知识点:3.4 高速存储器 展开解析 答案A 解析 5. (5分) 一个存储单元是指能够存放()的所有存储单元的集合。 ? A. 两个字节 ? B. 一个字节 ? C. 一个二进制信息位 ? D. 一个机器字 纠错 得分:5 知识点:1.3 计算机的基本组成 展开解析 答案D 解析 6.

计算机组成原理作业讲解1-4章 答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼V on Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

计算机组成原理练习题答案

一、选择题 1、完整得计算机系统应包括运算器、存储器、控制器。 一个完整得计算系统应该就是:硬件系统与软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备与输出设备,软件系统包括系统软件与应用软件、而您给得答案中B与D就是可以排除得,也就就是不能选,A与C两个中A得可能性最大,答案只能选A、 3、冯、诺依曼计算机工作方式得基本特点就是按地址访问并顺序执行指令. 4、移码表示法主要用于表示浮点数中得阶码。 5、动态RAM得刷新就是以行为单位得。 8、在定点运算器中产生溢出得原因就是运算得结果得超出了机器得表示范围。 10、在指令得地址字段中,直接指出操作数本身得寻址方式,称为立即寻址. 11、目前得计算机,从原理上讲指令与数据都以二进制形式存放. 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”得概念,最早提出这种概念得就是冯、诺依曼。 16、在CPU中,跟踪后继指令地址得寄存器就是程序计数器。 20、系统总线中地址总线得作用就是用于选择指定得存储单元或外设。 21、计算机中得主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积得符号位由两个操作数得符号进行异或运算. 24、对于真值“0”表示形式唯一得机器数就是移码与补码。 25、若[X]补=0、0100110,则[X]反= 0、0100110。--x为正数 26、在CPU中,存放当前执行指令得寄存器就是指令寄存器。 保存当前正在执行得指令得寄存器称为(指令寄存器)。 指示当前正在执行得指令地址得寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码得就是ASCII码。 ASCII ASCII(American Standard CodeforInformationInterchange,美国信息互换标准代码)就是基于拉丁字母得一套电脑编码系统.它主要用于显示现代英语与其她西欧语言。它就是现今最通用得单字节编码系统,并等同于国际标准ISO/IEC646。28、在下列存储器中,半导体存储器可以作为主存储器. 30、在CPU中跟踪指令后继地址得寄存器就是PC。 31、EPROM就是指光擦除可编程得只读存储器。

计算机组成原理_在线作业

计算机组成原理_在线作业_3 交卷时间:2016-06-11 14:32:52 一、单选题 1. (5分) 系统总线中控制线的功能是()。 A. 提供主存、I / O接口设备的响应信号 B. 提供数据信息 C. 提供主存、I / O接口设备的控制信号响应信号 D. 提供时序信号 纠错 得分: 0 知识点:总线的概念和结构形态 展开解析 答案C 解析 2. (5分) 双端口存储器在()情况下会发生读/写冲突。 A. 左端口与右端口的地址码不同 B. 左端口与右端口的数据码不同 C. 左端口与右端口的地址码相同 D. 左端口与右端口的数据码相同

纠错 得分: 5 知识点: CACHE存储器 展开解析 答案C 解析 3. (5分) 在计算机中字符采用()表示。 A. 原码 B. ASCII码 C. 补码 D. 反码 纠错 得分: 5 知识点:数据表示(数据与文字表示方法) 展开解析 答案B 解析 4. (5分) 某寄存器中的值有时是地址,因此只有计算机的()才能识别它。 A. 译码器 B. 指令 C. 判别程序 D. 时序信号 纠错

得分: 5 知识点:指令格式 展开解析 答案B 解析 5. (5分) 某计算机字长16位,它的存贮容量是64K,若按半字编址,那么它的寻址范围是()。 A. 32K B. 64KB C. 32KB D. 64K 纠错 得分: 0 知识点: RAM 展开解析 答案D 解析 6. (5分) CPU 中通用寄存器的位数取决于()。 A. 存储容量 B. 指令的长度 C. 机器字长 D. CPU的管脚数 纠错 得分: 5

知识点: CPU 的功能和组成 展开解析 答案C 解析 7. (5分) 中断向量地址是()。 A. 中断返回地址 B. 中断服务例行程序入口地址 C. 子程序入口地址 D. 中断服务例行程序入口地址的指示器纠错 得分: 0 知识点:程序中断方式 展开解析 答案D 解析 8. (5分) DRAM利用()作为一个存储元。 A. 触发器 B. MOS晶体管和电容 C. 储存器 D. MOS晶体管 纠错 得分: 5 知识点: ROM和 FLASH ROM

计算机组成原理

吉林大学网络教育学院2019-2020学年第一学期期末考试《计算机组成原理》大作业 学生姓名专业 层次年级学号 学习中心成绩

年月日 作业完成要求:大作业要求学生手写,提供手写文档的清晰扫描图片,并将图片添加到word 文档内,最终wod文档上传平台,不允许学生提交其他格式文件(如JPG,RAR等非word 文档格式),如有雷同、抄袭成绩按不及格处理。 一、简答题(每小题10分,共70分) 1、基本的软件系统包括哪些内容? 2、计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 3、冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 4、早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 5、什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 6、按其任务分,总线有哪几种类型?它们的主要作用是什么? 7、计算机的主要特点是什么? 二、计算题(每小题15分,共30分) 1、某8位计算机采用单总线结构,地址总线17根(A16~0,A16为高位),数据总线8根双向(D7~0),控制信号R/W(高电平为读,低电平为写)。 已知该机存储器地址空间从0连续编址,其地址空间分配如下:最低8K为系统程序区,由ROM芯片组成;紧接着40K为备用区,暂不连接芯片;而后78K为用户程序和数据空间,用静态RAM芯片组成;最后2K用于I/O设备(与主存统一编址)。现有芯片如下:SRAM:16K×8位,其中CS:为片选信号,低电平有效,WE:为写控制信号,低电平写,高电平读。 ROM:8K×8位,其中CS:为片选信号,低电平有效,OE:为读出控制,低电平读出有效。 译码器:3—8译码器,输出低电平有效;EN为使能信号,低电平时译码器功能有效。 其它“与、或”等逻辑门电路自选。 (1)请问该主存需多少SRAM芯片?

相关文档
最新文档