4选1多路选择器

4选1多路选择器
4选1多路选择器

电子设计自动化实验报告(一)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:4选1多路选择器

二、实验目的:熟悉并掌握verilog关于组合电路描述的核心语法规则和基本设计方法。

三、实验程序:module MUX41a(a,b,c,d,s1,s0,y);

input a,b,c,d;

input s1,s0;

output y;

reg y;

always @(a or b or c or d or s1 or s0)

begin : MUX41a

case({s1,s0})

2'b00: y<=a;

2'b01: y<=b;

2'b10: y<=c;

2'b11: y<=d;

default: y<=a;

endcase

end

endmodule

四、实验仿真结果:

五、仿真结果分析:如上图所示,当s0=0、s1=0时,y选择a输出;当s0=1、s1=0时,y 选择b输出;当s0=0、s1=1时,y选择c输出;当s0=1、s1=1时,y选择d输出。程序能够实现4选1多路选择器功能。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把程序中的输入输出端给锁定,编译并下载程序,依次设定s0,s1的值,观察现象是否符合程序的结果。

七、总结:通过对4选1多路选择器的verilog设计,我对verilog语句的基本结构、语句表达、数据规则和语法特点有了一个比较简单的认识,同时也感受到了verilog语句的魅力,这促使着我努力把它学好的决心。

四选一数据选择器源程序

四选一数据选择器源程序 Library ieee; Use ieee.std_logic_1164.all; Entity mux4_2 is Port (din: in std_logic_vector(3 downto 0); a,b : in std_logic; S: out std_logic); End; Architecture with_when of mux4_2 is Signal sel : std_logic_vector(1 downto 0); Begin Sel<=a&b; S<=din(0) when sel=“00”else din(1)when sel= “01” else din(2)when sel= “10” else din(3);---见程序说明。 Architecture with_select of mux4 is Signal sel :std_logic_vector(1 downto 0); begin sel<=a&b; with sel select s<=din(0) when “00”, s<=din(1) when “01”,

s<=din(2) when “10”, s<=din(3) when “11”, …Z?when others; End; 程序说明: 1.本程序中含有两个结构体,with_when和with_select,max+plus软 件系统自动执行几何位置处于最后的机构体with_select. 2.结构体with_when是用并行条件信号赋值语句描述四选一数据选 择器。注意,最后一个输出din(3)不含有when子句;在s表达式中只有一个分号(;)。 3.结构体with_select.是用并行选择信号赋值语句描述四选一数据选 择器。注意,选择信号赋值语句中选择条件与case语句相似,不允许条件重叠和涵盖不全。由于a,b的值除了‘1’‘0’外,还有其他7个值,所以要用when others代表其他值,以穷尽所有可能值。 4.同一个设计任务,可以用不同的语句进行描述, 5.本程序中din为输入4位矢量信号。 实例2 3线----8线译码器 一、设计任务 描述一个3线-8线译码器,使能端为g1、g2a、g3b,地址选择端为a、 b、c,输出端为总线y。 二、算法设计

选多路选择器

选多路选择器 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

EDA实验二4选1多路选择器设计实验 一、实验目的 进一步熟悉 QuartusII 的 VHDL 文本设计流程、组合电路的设计仿真和测试。 二、实验内容 实验内容一:根据4.1流程,利用 QuartusII 完成四选一多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波 形。 b5E2RGbCAP 实验内容二:对 VHDL 不同描述方式的四选一多路选择器进行硬件实验,比较他们的特性。 三、实验记录 1.when-else语句设计的4选1多路选择器 a>.利用when-else语句的vhdl程序 library ieee。 use ieee.std_logic_1164.all。 entity mux41a is port( a,b,c,d,s0,s1:in std_logic。 y:out std_logic>。 end entity mux41a。 architecture one of mux41a is begin

y<= a when s0='0' and s1='0' else b when s0='1' and s1='0' else c when s0='0' an d s1='1' else d。 end architecture one。 备注 以上是when-else语句设计的4选1多路选择器的vhdl描述。程序中应该注意的有以下几点 A.一:实体的命名要和工程名相同,并且不能是中文的或者以数字 开头; B.二:when-else语句具有最高赋值优先级; b>.when-else语句设计的4选1多路选择器的RTL图 图<1)when-else语句设计的4选1多路选择器的RTL图 c>.when-else语句设计的4选1多路选择器的时序仿真波形图

电子线路基础数字电路实验4 数据选择器

实验四数据选择器 一、实验目的 1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。 2. 学习用集成数据选择器进行逻辑设计。 二、实验原理 数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。 中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图7—1所示,其中D0,D1,D2,D3为四个数据输入端,Y为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G为工作状态选择端(或称使能端)。74LS153的逻辑功能如表7—1所示,当1 =G G时电路不工作,此 1= 2 ) ( 时无论A1、A0处于什么状态,输出Y总为零,即禁止所有数据输出,当( =G G时,电路正常工作,被选择的数据送到输出端,如A1A0=01,则选1= ) 2 中数据D1输出。 图7—1 图7—2 表7—1

当G =0时,74LS153的逻辑表达式为 中规模集成芯片74LS151为八选一数据选择器,引脚排列如图7—2所示。其中D 0—D 7为数据输入端,)(Y Y 为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表7—2所示。逻辑表达式为 数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。 用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。 表7—2 例:用八选一数据选择器实现逻辑函数 CA BC AB F +== D A A D A A D A A D A A Y 3 1 2 1 1 1 1 +++= D A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y 7 2 6 1 2 5 1 2 4 1 2 3 1 2 2 1 2 1 1 2 1 2 +++ ++++=

实验二4选1数据选择器的设计

实验二 4选1数据选择器的设计 实验学时:2学时 实验类型:设计 实验要求:必做 一、实验目的 通过实验让学生掌握组合逻辑电路的EDA原理图输入设计法,通过电路的仿真和硬件验证,让学生进一步了解4选1数据选择器的功能。 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关。数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。 图1 4选1数据选择器原理图 图1是一个4选1数据选择器,d3—d0是数据输入端,s1和s0是控制输入端,y是4选1数据输出端。 三、实验内容 设计并实现一个4选1数据选择器,要求根据原理图写出它的逻辑关系,并利用开发工具软件对其进行编译和仿真,最后通过实验开发系统对其进行硬件验证。

四、实验步骤 1)在Maxplus2的图形编辑方式下,从prim元件库中调出4选1数据选择器电路所需要的元件。并按照图1所示的原理电路,完成4选1数据选择器原理图的输入设计。 2)保存好原理图文件,以为文件名保存在工程目录中。执行Compiler命令对设计文件进行编译。执行Create Default Symbol命令,可为4选1数据选择器生成一个元件符号。 3)在波形编辑方式下,编辑的波形文件,并完成输入信号d3,d2,d1和d0,控制信号s1和s0电平的设置。波形文件编辑结束后以为波形文件名存盘。执行仿真器Simulator命令,仿真开始,观察仿真波形进行设计电路的功能验证。 五、实验结果 1. 4选1数据选择器的逻辑功能及真值表 2.仿真波形

用数据选择器设计组合逻辑电路

用数据选择器设计组合逻辑电路 一、用一片四选一数据选择器实现逻辑函数:BC C A C AB Y ++=//// 要求写出分析与计算过程并画出连线图。 四选一数据选择器的功能表及逻辑图如下图所示。(10分) 解一: (1)选A 、B 作为数据选择器的地址码A 1、A 0,将逻辑函数变形为: ) ()()1()(//////////////////C AB C AB B A C B A ABC BC A BC A C B A C AB BC C A C AB Y +++=++++=++= (2)将变形后的逻辑函数与四选一数据选择器的输出逻辑式进行比较得: 013/0120/11/0/10A A D A A D A A D A A D Y +++= C D C D D C D ====3/21/0;;1; (3)连接电路:

解二: (1)、写出四选一数据选择器的逻辑表达式:(2分) S A A D A A D A A D A A D Y ?+++=)(013/0120/11/0/10 (2)、把所求逻辑函数的表达式变形:(4分) C AB C AB B A C B A ABC BC A BC A C B A C AB BC C A C AB Y )()(1)()(//////////////////++?+=++++=++= (3)、确定电路连接:(4分) 将上述两个表达式进行比较,可知应令: 1=S ,即0/=S 01;A B A A == C D C D D C D ====3/21/0;;1; (4)、画出连接图:( 2分)

二、试用一片四选一数据选择器实现逻辑函数: ' +' ' = Y' + B C A AC BC A 要求写出详细的设计过程并画出连线图。四选一数据选择器的功能表及逻辑图如图(a)、(b)所示。(10分) 解: (1)、把所求逻辑函数的表达式变形:(4分) (2)、与四选一数据选择器的逻辑表达式进行比较,确定电路连接:(4分)(3)、画出连接图:( 2分)

VHDL语言设计4选1多路选择器

4选1多路选择器的VHDL描述 要求:THEN语句和CASE语句实现4选1多路选择器,其中选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=?0?,s0=?0?;s1=?0?,s0=?1?;s1=?1?,s0=?0?和s1=?1?,s0=?1?时,分别执行y<=a、y<=b、y<=c、y<=d。 一、解法1:用IF_THEN语句实现4选1多路选择器 (1)程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE if_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN IF s0s1 = "00" THEN y <= a; ELSIF s0s1 = "01" THEN y <= b; ELSIF s0s1 = "10" THEN y <= c; ELSE y <= d; END IF; END PROCESS; END ARCHITECTURE if_mux41; (2)编译的结果如下:

编译报告: 二、解法2:用CASE语句实现4选1多路选择器(1)程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC;

4选1数据选择器

电子设计技术课程设计 四位加法器 姓名:黄政 学号:2011059605 班级:通信工程zb421101 指导教师:郑雪娇 2013年12月26日 1

一、设计目的 1. 熟练使用Verilog HDL语言在mux plusⅡ软件平台上编写程序,完成编译工作。 2.学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用,了解VHDL程序的基本结构。 3.掌握使用EDA工具设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。 4.学习实验开发系统的使用方法。 二、设计内容 1、设计并调试好一个4选1数据选择器。 2、仿真、分析结果、绘制波形 三、设计过程 1、程序的设计 打开mux plusⅡ,单击file,选择new ,选择Editor file类型。进行文本编辑,编辑完成后并以“max4_1.vhd”(注意后缀是.Vhd)为文件名,存在自己建立的工程目录D:\(自己的文件夹)内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。程序设计内容如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41A IS PORT(D3,D2,D1,D0,A1,A0,EN:IN STD_LOGIC; Y:OUT STD_LOGIC); END ENTITY MUX41A; ARCHITECTURE ONE OF MUX41A IS BEGIN Y<=D0 WHEN A1='0' AND A0='0' AND EN='1' ELSE D1 WHEN A1='0' AND A0='1' AND EN='1' ELSE D2 WHEN A1='1' AND A0='0' AND EN='1'

实验五 数据选择器及应用

实验五 数据选择器及应用 [实验目的] 1、掌握数据选择器的工作原理及逻辑功能。 2、熟悉74LS153和74LS151的管脚排列和测试方法。 3、学习用数据选择器构成组合逻辑电路的方法。 [实验仪器及元器件] THD-1型数字电路实验箱,数字万用表,双踪示波器,集成电路(74LS00 四-2输入与非门、4LS32四-2输入或门、4LS86四-2输入异或门、 74LS153双四选一数据选择器、74LS151 八选一数据选择器),信号线(电缆),各种导线。 [实验任务] 1、用双四选一数据选择器74LS153实现一位全减器。 2、用双四选一数据选择器74LS153设计一个四位奇偶校验器。 3、用八选一数据选择器74LS151设计一个多数表决电路。 4、用Multisim8进行仿真,并在实验仪器上实现。 [实验原理] 数据选择器又称多路转换器或多路开关,其功能是在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其 送到一个公共输出端。数据选择器的功能类似一个多掷开关,如图4-23所示,图中有四路数据D 0 ~ D 3通过选择控制信号A 1、A 0(地址码)从四路数据中选中某一路数据送至输出端Y 。 一个n 个地址端的数据选择器,具有2n 个数据选择功能。例如:数据选择器(74LS153),n = 2,可 完成四选一的功能;数据选择器(74LS151),n = 3,可 完成八选一的功能。 1、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上 有两个4选1 数据选择器。集成芯片引脚排列如图 A 1 A 0 地址码 D 0 D D D 数 据输 入 Y 输 出 图4-23 四选一数据选择器 4-24 74LS153引脚排列

四选一多路选择器

四选一选择器的VHDL程序实现及仿真 一、四选一选择器的基本功能描述 选择器常用于信号的切换,四选一选择器常用于信号的切换,四选一选择器可以用于4路信号的切换。四选一选择器有四个输入端input(0)~ input(3),两个信号选择端a和b及一个信号输出端y。当a、b输入不同的选择信号时,就可以使input(0)~ input(3)中某一个相应的输入信号与输出y端接通。例如,当a=b=“0”时,input (0)就与y接通。 四选一电路的逻辑功能真值表如下图所示: 我们可以根据上面的逻辑真值表,设计四选一电路系统的VHDL 源程序,并进行程序的编译和仿真。 二、编写VHDL源程序 下面为四选一选择器的VHDL源程序: 四选一选择器VHDL源程序如下: LIBRARY IEEE; ***库的调用***

USE IEEE.STD_LOGIC_1164.ALL; ***库的调用*** ENTITY mux4 IS ***实体定义*** PORT (input:IN STD_LOGIC_VECTOR(3 DOWNTO 0); ***输入管脚的定义*** a,b:IN STD_LOGIC; ***输入管脚的定义*** y:OUT STD_LOGIC ); ***输出管脚的定义*** END mux4; ARCHITECTURE rtl OF mux4 IS ***结构的定义*** SIGNAL sel:STD_LOGIC_VECTOR (1 DOWNTO 0); ***信号定义*** BEGIN sel<=b&a; PROCESS (input,sel) ***进程的定义*** BEGIN IF(sel="00") THEN y<=input(0); ELSIF(sel<="01") THEN y<=input(1); ELSIF(sel<="10") THEN y<=input(2); ELSE y<=input(3); END IF; END PROCESS; END rtl; 三、文本文件的编译及仿真全过程 在编辑器中输入并保存了以上四选一选择器的VHDL源程序后就可以对它进行编译了,编译的最终目的是为了生成可以进行仿真、定时分析及下载到可编程器件的相关文件,如*.cnf,*.rpt,*.snf,*.pof 等。 具体编译过程如下: 1、输入完以上全加器的源程序后,进入File/Project子菜单,选择Name 项,在工程名(Project name)对话框中输入mux4.vhd,按OK按钮;再在相同的子菜单中选择Set Project to Current File项; 2、进入MAX+plus Ⅱ菜单,在出现的子菜单中选择Compiler选项,

VHDL语言设计四选一选择器

课程: 数字逻辑与数字系统VHDL语言设计四选一选择器实验报告 系:电子信息与计算机科学系 专业:自动化 班级:文自112—2班 姓名:桑*超 学号: 2011905192** 指导教师:徐红霞 学年学期:2012-2013学年(第一学期) 2012年12月15日

姓名: 桑*超班级: 文自112-2班学号: 2011905192** 试验: VHDL语言设计日期:2012.12.15 指导老师: 徐洪霞 一、实验报告的名称: VHDL语言设计 二、本次实验的目的: 1.掌握VHDL 语言的设计技巧 2.用VHDL语言设计四选一选择器 三、设计过程: 1.工程编译源:用VHDL语言编程。 2.功能仿真:将功能编译后的结果进行仿真。 3.引脚锁定:将个信号按要求分配到相应引脚. 4.物理实现:将结果下载到所悬着的器件中 四、写出源程序,画出防真波形图. library ieee; use ieee.std_logic_1164.all; entity mux4_1 is port( a,b,c,d : in std_logic; s : in std_logic_vector(1 downto 0); z : out std_logic ); end mux4_1; architecture one of mux4_1 is begin process(s,a,b,c,d) begin case s is when "00"=>z<=a;

when "01"=>z<=b; when "10"=>z<=c; when "11"=>z<=d; when others =>z<=null; end case; end process; end one ; 波形仿真图: 五、实验总结,主要包括实验中所犯错误,怎样改正等 1.在文件名必须与VHDL文件中的设计实体名保持一致。 2.设计前要先弄清楚四选一选择器的原理,然后根据原理设计程序。 3.编写程序时认真仔细,避免出现一些低级错误。

4选1多路选择器VHDL语言设计

一.4选1多路选择器VHDL语言设计 4选1多路选择器源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY max4_1 IS PORT(a,b,c,d,s1,s2 : IN STD_LOGIC; y : OUT STD_LOGIC); END ENTITY max4_1; ARCHITECTURE hf1 OF max4_1 IS SIGNAL ss : STD_LOGIC_VECTOR (0 TO 1); BEGIN ss<=s2&s1; PROCESS(ss) BEGIN CASE ss IS WHEN "00" => y<=a; WHEN "01" => y<=b; WHEN "10" => y<=c; WHEN "11" => y<=d; WHEN OTHERS => NULL; END CASE; END PROCESS; END ARCHITECTURE hf1; 图1. 4选1电路图 图2. 4选1波形仿真图 二.三位触发器VHDL语言设计 三位触发器设计原代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DFF3 IS PORT( D,CLK : IN STD_LOGIC; Q0,Q1,Q2: OUT STD_LOGIC); END DFF3; ARCHITECTURE bhv OF DFF3 IS SIGNAL Q00,Q11,Q22 : STD_LOGIC; BEGIN PROCESS(CLK,Q00,Q11,Q22) BEGIN IF CLK'EVENT AND CLK='1' THEN Q00<=D; Q11<=Q00; Q22<=Q11; END IF; END PROCESS; Q0<=Q00; Q1<=Q11; Q2<=Q22; END bhv;

数据选择器

深圳大学实验报告 实验课程名称:数字逻辑与数字系统 实验项目名称:数据选择器 学院:计算机与软件学院 报告人:陈文强学号:2012150295 班级:6班同组人:陈亚伟 指导教师:俞航老师 实验时间:2013-11-4 实验报告提交时间:2013-11-17

一、 说明 数据选择器是常用的组合逻辑电路之一。它有若干个数据输入端D0、D1……,若干个控制输入端、A0、A1、……和一个输出端Y 。在控制输入端加上适当的信号,即可从多个数据输入源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序地逻辑部件,也可以用来构成逻辑函数发生器,其方法简便,线路简单。4选1数据选择器的逻辑表达式为 10100112103Y=A A D+A A D A A D A A D ++ 所以对于任意给定的三输入变量的逻辑函数均可用4选1数据选择器来实现。同理,8选1数据选择器的逻辑表达式为 21021202110000112103204205216217Y=A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D +++++++所以对于任意给定的四输入变量的逻辑函数均可用8选1数据选择器来实现。采用比较法用数据选择器实现单输出函数的设计步骤如下: (1) 选择接到数据选择端的函数变量。 (2) 写出数据选择器输出的逻辑表达式。 (3) 将要实现的逻辑函数转换为标准与或表达式。 (4) 对照数据选择器输出表达式和待实现函数的表达式,确定数据输入端的值。 (5) 连接电路。 二、 实验仪器与材料 RXB-1B 数字电路实验箱 器件 74LS00 四2输入与非门 74LS153 双4选1数据选择器 三、 实验任务 任务一:双4选1数据选择器74LS153功能测试 将双4选1数据选择器74LS153插入实验系统的IC 空插座中。按图2.2.1接线,把数据输入端1D3、1D2、1D0、控制输入端A1、A0和能使IST 接至DLE —6型数字电路实验箱的任意7个逻辑电平开关,输出1Y 接至实验箱电平显示器的任一LED ,设计表2.2.1中的输入状态并将结果填入表2.2.1中。 输入 输出 使能端 地址端 数据端 Y Y 1ST A1 A0 D3 D2 D1 D0 理论值 实测值 表2.2.1

4选1及16选1的数据选择器

4选1的数据选择器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux41 is port(a,b,c,d:in std_logic; s:in std_logic_vector(1 downto 0); y:out std_logic); end; architecture one of mux41 is begin y<= a when s=0 else b when s=1 else c when s=2 else d; end; 16选1数据选择器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux161 is port(ain,bin,cin,din,ein,fin,gin,hin,iin,jin,kin,lin,min,nin,oin,pin:in std_logic; s1,s2:in std_logic_vector(1 downto 0); y:out std_logic); end; architecture one of mux161 is component mux41 port(a,b,c,d:in std_logic; s:in std_logic_vector(1 downto 0); y:out std_logic); end component; signal e,f,g,h:std_logic; begin u1:mux41 port map(ain,bin,cin,din,s1,e); u2:mux41 port map(ein,fin,gin,hin,s1,f); u3:mux41 port map(iin,jin,kin,lin,s1,g); u4:mux41 port map(min,nin,oin,pin,s1,h); u5:mux41 port map(e,f,g,h,s2,y); end;

VHDL语言设计4选1多路选择器讲解学习

V H D L语言设计4选1多路选择器

4选1多路选择器的VHDL描述 要求:THEN语句和CASE语句实现4选1多路选择器,其中选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=?0?,s0=?0?;s1=?0?,s0=?1?;s1=?1?,s0=?0?和s1=?1?,s0=?1?时,分别执行y<=a、y<=b、y<=c、y<=d。 一、解法1:用IF_THEN语句实现4选1多路选择器 (1)程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT (a,b,c,d: IN STD_LOGIC; s0: IN STD_LOGIC; s1: IN STD_LOGIC; y: OUT STD_LOGIC); END ENTITY mux41; ARCHITECTURE if_mux41 OF mux41 IS SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据BEGIN s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作 PROCESS(s0s1,a,b,c,d) BEGIN IF s0s1 = "00" THEN y <= a; ELSIF s0s1 = "01" THEN y <= b; ELSIF s0s1 = "10" THEN y <= c; ELSE y <= d; END IF; END PROCESS; END ARCHITECTURE if_mux41; (2)编译的结果如下:

四选一选择器

实验课程名称VHDL 四选一选择器 年级电技 111 专业电子科学与技术 学生姓名周伦稳 学号 1107010086 2013年12月

4选1数据选择器 1·设计背景和设计方案 1·1设计背景 该设计是以数字电子技术为基础,实现数据从四位数据中按照输入的信号选中一个数,来实现所期望的逻辑功能。 1·2设计方案 用拨码开关作四位数据及两位控制端的输入,LED 作输出,通过拨码开关组成控制输入端s1和s0不同组合,观察LED 与数据输入端a,b,c,d 的关系,验证四选一数据选择器设计的正确性。使用逻辑门电路与、或、非的组合来表达4选1数据选择器,通过控制输入的信号来控制输出的信号值。 其逻辑电路图如下: >11 1 3 & 2 1 1 D D D D A A Y 其示意框图如下: 其中输入数据端口为D0、D1、D2、D3,A 、A ’为控制信号,Y 为输出。 令AA ’=“00”时,输出Y=D0;

令AA ’=“01”时,输出Y=D1; 令AA ’=“10”时,输出Y=D2; 令AA ’=“11’ 时,输出Y=D3; D0 输入 D 1 Y 数据 D 2 D 3 A A ′ 真值表如下: 输入 输出 D A1 A0 Y D0 0 0 D0 D1 0 1 D1 D2 1 0 D2 D3 1 1 D3 2·方案实施 1)程序1 2·1·1 设计思路 4 选 1 数 据 选 择 器

四选一多路选择器设计时,定义输入S为标准以内漏记为STD_LOGIC,输出的信号Z的数据类型定义为2位标准逻辑矢量位STD_LOGIC_VECTOR( 1 DOWNTO 0 ).使用LIBRATY语句和USE语句,来打开IEEE库的程序包STD_LOGIC_1164.ALL。当输入信号时,程序按照输入的指令来选择输出,例如输入信号为“00”时,将a的值给z,进而输出z的值,输入信号为“11”是,将a的值给z,进而输出z的值。若输入信号是已经定义的四个信号之外的值时(即当IF条件语句不满足时),输出值为x,并将x的值给输出信号z。这样即可实现四选一数据选择的功能。 2·1·2 程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 is PORT (a,b,c,d :IN STD_LOGIC; s :IN STD_LOGIC_VECTOR(1 DOWNTO 0); z : OUT STD_LOGIC); END mux41; ARCHITECTURE one OF mux41 IS BEGIN PROCESS( s,a,b,c,d)

EDA实验二___4选1多路选择器设计实验

多路选择器设计实验 一、实验目的 进一步熟悉QuartusII的VHDL文本设计流程、组合电路的设计仿真和测试。 二、实验内容 实验内容一:根据以下流程,利用QuartusII完成四选一多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。 实验内容二:在试验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试。输出信号接蜂鸣器。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制S0,S1,可使蜂鸣器输出不同音调)。 实验内容三:对VHDL不同描述方式的四选一多路选择器进行硬件实验,比较他们的特性。 三、程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41a IS PORT (a,b,c,d,s0,s1:IN STD_LOGIC; --输入信号 y:OUT STD_LOGIC); --输出信号 END ENTITY mux41a; ARCHITECTURE one OF mux41a IS SIGNAL S:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN S<=s0&s1; --并置操作,获得二维矢量数据类型 PROCESS(s0,s1,a,b,c,d) --敏感信号 BEGIN CASE S IS WHEN"00"=> y<=a; WHEN"01"=> y<=b;

WHEN"10"=> y<=c; WHEN"11"=> y<=d; WHEN OTHERS=>NULL; --其它情况为空值 END CASE; --CASE语句结束 END PROCESS; --PROCESS进程语句结束 END ARCHITECTURE one; 四、程序分析 程序分析:四选一多路选择器设计时,定义输入S为标准以内漏记为STD_LOGIC,输出的信号y的数据类型定义为2位标准逻辑矢量位STD_LOGIC_VECTOR( 1 DOWNTO 0 ).使用LIBRATY语句和USE语句,来打开IEEE库的程序包STD_LOGIC_1164.ALL。当输入信号时,程序按照输入的指令来选择输出,例如输入信号为“00”时,将a的值给y,进而输出y的值,输入信号为“11”是,将a的值给y,进而输出y的值。若输入信号是已经定义的四个信号之外的值时(即当IF条件语句不满足时),输出值为x,并将x的值给输出信号z。这样即可实现四选一数据选择的功能。 五、波形仿真

四选一数据选择器的设计

XX大学 实习(实训)报告 实习(实训)名称:电工电子实习 学院: 专业、班级: 指导教师: 报告人: 学号: 时间: 2011年7月1日至 2011年7月8日

实习主要内容: (1)了解EDA技术的发展及应用 (2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计 (3)学习MAX+PLUSⅡ软件的应用方法 (4)应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真 主要收获体会与存在的问题: 通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。同时也体会到设计课的重要性和目的性所在。同时这次实习也有很多收获,首先我们学会了MAX+PLUSⅡ软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。 指导教师意见: 建议成绩: 指导教师签字: 年月日备注:

实习报告 1.目的 (1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识 (2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。 2.内容 2.1 maxplus2的认识 (1)Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera 是世界上最大可编程逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。 (2)、Max+plusⅡ开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。 (3)、Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。Max+Plus II 工具软件,是现代最先进的仿真设计技术。在普通电脑上就可以操作,十分方便。Max+Plus II 工具软件,是功能强大的EDA综合设计系统工具。 2.24选1数据选择器 (1)创建电路 原理:数据选择器又称为多路转换器或多路开关,它是数字系统中常用的一种典型电路。其主要功能是从多路数据中选择其中一路信号发送出去。所以它是一个多输入、单输出的组合逻辑电路。 4选1数据选择器的元件符号如图一所示,其中D0、D1、D2、D3是4位数据输入端,A0和A0是控制输入端,Y是数据输出端。当A1A0=00时,输出Y=D1;A1A0=01时,Y=D1;A1A0=10时,Y=D2;A1A0=11,Y=D3。。 图一4选1数据选择器的 元件符号

数字电路实验报告-4选1数据选择器及其应用

电学实验报告模板 实验原理 数据选择器的功能类似一个单刀多掷开关,如图1所示。数据选择器在地址码的控制下,从多路数据输入中选择其中一个并将其送到一个公共的输出端。 图1 数据选择器示意图 1. 4选1数据选择器

图2 4选1数据选择器及其逻辑 图2所示为4选1数据选择器及其逻辑。该电路有4路输入数据和为地址输入。为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。 由图2(b)可以得到该数据选择器的逻辑函数式为 (1) 2. 用4选1数据选择器扩展成8选1数据选择器 8选1数据选择器有8路数据输入,3位地址输入。如果用4选1数据选择器实现8选1,需要2片4选1数据选择器,如图所示。其中,是通过4选1数据选择器的使能控制端接入的。由图5并根据式(1),可以得到 显然实现了8选1的逻辑功能。

图5 用4选1数据选择器扩展成8选1数据选择器实验仪器

实验内容及步骤 1. 测试和验证74HC153的逻辑功能 (1)集成电路芯片74HC153引脚图 74HC153是双4选1数据选择器,芯片内部包含两个独立的、完全相同的4选1数据选择器。图7-5所示为引脚图。每一个4选1数据选择器都设置了一个使能控制端。两个4选1数据选择器共享地址输入端。 图6 74HC151引脚图 (2)测试和验证74HC153的逻辑功能 按图7连接电路。实验数据记录在表7-1。验证74HC153的逻辑功能。

图7 测试74HC151的逻辑功能实验电路 表1 (3)用一片74HC153扩展成8选1数据选择器

图8 74HC153扩展成8选1数据选择器实验电路按图8连接电路。实验数据记录在表2。验证电路的逻辑功能。 表2

[管理]四选一数据选择器的设计

[管理]四选一数据选择器的设计 XX大学 实习,实训,报告 实习,实训,名称: 电工电子实习 学院: 专业、班级: 指导教师: 报告人: 学号: 时间: 2011年7月1日至 2011年7月8日 实习主要内容: (1) 了解EDA技术的发展及应用 (2) 掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计 (3) 学习MAX+PLUS?软件的应用方法 (4) 应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图 和文本法两种方法实现),并在MAX+PLUS?上仿真主要收获体会与存在的问题: 通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实 践经验还是比较缺乏,理论联系实际的能力还急需提高。同时也体会到设计课的重要性和目的性所在。同时这次实习也有很多收获,首先我们学会了MAX+PLUS?软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。 指导教师意见:

建议成绩: 指导教师签字: 年月日 备注: 实习报告 1(目的 (1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识 (2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。 2(内容 2.1 maxplus2的认识 (1) Max+plus?是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus?界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus?上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。 (2)、Max+plus?开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。 (3)、Max+plus?软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。Max+Plus II 工具软件,是现代最先进的仿真设计技术。在普通电脑上就可以操作,十分方便。 Max+Plus II 工具软件,是功能强大的EDA综合设计系统工具。 2.2 4选1数据选择器 (1)创建电路

74253 TTL 三态输出双4选1数据选择器 复工器

? 2000 Fairchild Semiconductor Corporation DS006416 https://www.360docs.net/doc/fb11394692.html, August 1986Revised March 2000 DM74LS253 3-STATE Data Selector/Multiplexer DM74LS253 3-STATE Data Selector/Multiplexer General Description Each of these Schottky-clamped data selectors/multiplex-ers contains inverters and drivers to supply fully comple-mentary, on-chip, binary decoding data selection to the AND-OR gates. Separate output control inputs are pro-vided for each of the two four-line sections. The 3-STATE outputs can interface directly with data lines of bus-organized systems. With all but one of the common outputs disabled (at a high impedance state), the low impedance of the single enabled output will drive the bus line to a HIGH or LOW logic level. Features s 3-STATE version of DM74LS153 with same pinout s Schottky-diode-clamped transistors s Permit multiplexing from N-lines to one line s Performs parallel-to-serial conversion s Strobe/output control s High fanout totem-pole outputs s Typical propagation delay Data to output 12 ns Select to output 21 ns s Typical power dissipation 35 mW Ordering Code: Devices also available in T ape and Reel. Specify by appending the suffix letter “X” to the ordering code. Connection Diagram Function Table Address Inputs A and B are common to both sections.H = HIGH Level L = LOW Level X = Don't Care Z = High Impedance (OFF) Order Number Package Number Package Description DM74LS253M M16A 16-Lead Small Outline Integrated Circuit (SOIC), JEDEC MS-012, 0.150 Narrow DM74LS253N N16E 16-Lead Plastic Dual-In-Line Package (PDIP), JEDEC MS-001, 0.300 Wide Select Data Inputs Output Output Inputs Control B A C0C1C2C3G Y X X X X X X H Z L L L X X X L L L L H X X X L H L H X L X X L L L H X H X X L H H L X X L X L L H L X X H X L H H H X X X L L L H H X X X H L H

相关文档
最新文档