CPLD大作业电子时钟进制(两种实现方法)

CPLD大作业电子时钟进制(两种实现方法)
CPLD大作业电子时钟进制(两种实现方法)

CPLD大作业报告

——电子时钟设计

姓名:

班级:控制专研-15

学号:

实验日期:2015年12月15日

一、实验内容:

1.使用CPLD设计电子钟电路框图,并对主要功能进行解释;

2.设计小时、分、秒处理功能模块的VHDL程序;

二、实验要求:

1.编写小时、分、秒处理功能模块编程。

2.对仿真图形进行分析,对关键处的时序进行解释。

3.每个人的小时、分、秒数都不同。根据任务布置,本设计的小时、分、秒数分别为24、43、43。

三、模块设计

1. 一个模块实现的数字钟设计方案

(1)时钟VHDL源程序

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY clk43 IS

PORT(

CLRN,LDN,EN,CLK : IN STD_LOGIC;

Sa, Ma, Ha : IN INTEGER RANGE 0 TO 9;

Sb, Mb : IN INTEGER RANGE 0 TO 4;

Hb : IN INTEGER RANGE 0 TO 2;

QSa,QMa,QHa : OUT INTEGER RANGE 0 TO 9;

QSb,QMb : OUT INTEGER RANGE 0 TO 4;

QHb : OUT INTEGER RANGE 0 TO 2

);

END clk43;

ARCHITECTURE a OF clk43 IS

BEGIN

PROCESS (CLK)

V ARIABLE tmpsa,tmpma,tmpha : INTEGER RANGE 0 TO 9;

V ARIABLE tmpsb, tmpmb : INTEGER RANGE 0 TO 4;

V ARIABLE tmphb : INTEGER RANGE 0 TO 2;

BEGIN

IF CLRN='0' THEN

tmpsb := 0; tmpsa := 0;

tmpmb := 0; tmpma := 0;

tmphb := 0; tmpha := 0;

ELSE

IF (CLK'event AND CLK='1') THEN

IF LDN='0' THEN

tmpsa:=Sa; tmpsb:=Sb; tmpma:=Ma; tmpmb:=Mb;

tmpha:=Ha; tmphb:=Hb;

ELSIF EN='1' THEN

IF (tmpsb=4 AND tmpsa=2 AND tmpmb=4 AND tmpma=2) THEN

IF (tmphb=2 AND tmpha=3) THEN

tmpha:=0; tmphb:=0 ;

ELSIF tmpha=9 THEN

tmpha:=0; tmphb:=tmphb+1;

ELSE tmpha:=tmpha+1;

END IF;

END IF;

IF (tmpsb=4 AND tmpsa=2) THEN

IF (tmpmb=4 AND tmpma=2) THEN

tmpmb:=0; tmpma:=0;

ELSIF tmpma=9 THEN

tmpma:=0;tmpmb:=tmpmb+1;

ELSE tmpma:=tmpma+1;

END IF;

END IF;

IF (tmpsb=4 AND tmpsa=2) THEN

tmpsa:=0;tmpsb:=0;

ELSIF tmpsa=9 THEN

tmpsa:=0;tmpsb:= tmpsb+1;

ELSE tmpsa := tmpsa+1;

END IF;

END IF;

END IF;

END IF;

QSa<=tmpsa; QSb<=tmpsb;

QMa<=tmpma; QMb<=tmpmb;

Qha<=tmpha; Qhb<=tmphb;

END PROCESS ;

END a;

以上程序实现了,用统一化整体模块完成了对时钟的时、分、秒的相应的进制设计。其中,在时钟模块的功能端“CLRN”、“LDN”、“EN”同时为1时,在“CLK”有一个时钟信号的上升沿时,输出“QSa”自行加1,每到加至43时,自行向分的个位进1,同时秒的十位和个位置为0,分钟和小时的计数功能与之类似。当“LDN”为0,“CLRN”为1,“EN”为1时,时钟模块实现加载功能。

(2)原理连接图

图1 时钟原理接线图

(3)波形仿真

输出端口自下而上分别是:QSb(秒的十位)、QSa(秒的个位)、QMb(分的十位)、QMa(分的个位)、QHb(时的十位)、QMa(时的个位)。

时钟电路秒的进制仿真图(9s到10s)

时钟电路秒的进制仿真图(43s到1min)

时钟电路秒的进制仿真图(42min:42s到1h)

时钟电路秒的进制仿真图(23:42:42到00:00:00)

2. 三个模块实现的数字钟设计方案

(1)时钟VHDL源程序

程序1(24进制(时)计数器)

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY cout24_v IS

PORT(

CLRN,LDN,EN,CLK : IN STD_LOGIC;

Da : IN STD_LOGIC_VECTOR(3 downto 0);

Db: IN STD_LOGIC_VECTOR(2 downto 0);

Qa : OUT STD_LOGIC_VECTOR(3 downto 0);

Qb : OUT STD_LOGIC_VECTOR(2 downto 0)

);

END cout24_v;

ARCHITECTURE a OF cout24_v IS

BEGIN

PROCESS (Clk)

VARIABLE tmpa :STD_LOGIC_VECTOR(3 downto 0);

VARIABLE tmpb :STD_LOGIC_VECTOR(2 downto 0);

BEGIN

IF CLRN='0' THEN tmpb := "000"; tmpa := "0000";

ELSE

IF (CLK'event AND CLK='1') THEN

IF LDN='0' THEN

tmpa :=Da; tmpb:=Db;

ELSIF EN='1' THEN

IF tmpa="1001" THEN

tmpa:="0000"; tmpb:=tmpb+1;

ELSIF (tmpb="010" AND tmpa="0011") THEN tmpb:="000"; tmpa:="0000";

ELSE tmpa := tmpa+1;

END IF;

END IF;

END IF;

END IF;

Qa <= tmpa; Qb <= tmpb;

END PROCESS ;

END a;

程序2(43进制(分)计数器)

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY cout43_min IS

PORT(

CLRN,LDN,EN,CLK : IN STD_LOGIC;

Da : IN STD_LOGIC_VECTOR(3 downto 0); Db : IN STD_LOGIC_VECTOR(2 downto 0);

BQa : OUT STD_LOGIC_VECTOR(3 downto 0);

BQb : OUT STD_LOGIC_VECTOR(2 downto 0);

BRCO : OUT STD_LOGIC );

END cout43_min;

ARCHITECTURE clck_43 OF cout43_min IS

BEGIN

PROCESS (Clk)

VARIABLE tmpa :STD_LOGIC_VECTOR(3 downto 0);

VARIABLE tmpb :STD_LOGIC_VECTOR(2 downto 0);

BEGIN

IF CLRN='0' THEN tmpb := "000"; tmpa := "0000";

ELSE IF (Clk'event AND Clk='1') THEN

IF LDN='0' THEN tmpa :=Da; tmpb:=Db;

ELSIF EN='1' THEN

IF tmpa="1001" THEN

tmpa:="0000"; tmpb:=tmpb+1;

ELSIF (tmpb="100" AND tmpa="0010") THEN

tmpb:="000"; tmpa:="0000";

ELSE tmpa := tmpa+1;

END IF;

END IF;

END IF;

END IF;

BQa <= tmpa; BQb <= tmpb;

IF (tmpb="000" AND tmpa="0000" AND EN='1' AND LDN='1' AND CLRN='1') THEN

BRCO<= '1';

ELSE

BRCO<='0';

END IF;

END PROCESS ;

END clck_43;

程序3(43进制(秒)计数器)

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY cout43_sec IS

PORT(

CLRN,LDN,EN,CLK: IN STD_LOGIC;

Da: IN STD_LOGIC_VECTOR(3 downto 0);

Db: IN STD_LOGIC_VECTOR(2 downto 0);

AQa : OUT STD_LOGIC_VECTOR(3 downto 0);

AQb : OUT STD_LOGIC_VECTOR(2 downto 0);

ARCO : OUT STD_LOGIC

);

END cout43_sec;

ARCHITECTURE clck_43 OF cout43_sec IS

BEGIN

PROCESS (Clk)

VARIABLE tmpa :STD_LOGIC_VECTOR(3 downto 0);

VARIABLE tmpb :STD_LOGIC_VECTOR(2 downto 0);

BEGIN

IF CLRN='0' THEN tmpb := "000"; tmpa := "0000";

ELSE IF (Clk'event AND Clk='1') THEN

IF LDN='0' THEN tmpa :=Da; tmpb:=Db;

ELSIF EN='1' THEN

IF tmpa="1001" THEN

tmpa:="0000"; tmpb:=tmpb+1;

ELSIF (tmpb="100" AND tmpa="0010") THEN

tmpb:="000"; tmpa:="0000";

ELSE tmpa := tmpa+1;

END IF;

END IF;

END IF;

END IF;

AQa <= tmpa; AQb <= tmpb;

IF (tmpb="000" AND tmpa="0000" AND EN='1' AND LDN='1' AND CLRN='1') THEN

ARCO<= '1';

ELSE

ARCO<='0';

END IF;

END PROCESS ;

END clck_43;

以上程序实现了,用模块化完成了对时钟的时、分、秒的相应的进制设计。其中,在时钟模块的功能端“CLRN”、“LDN”、“EN”同时为1时,在“CLK”有一个时钟信号的上升沿时,输出“QSa”自行加1,每到加至43时,自行向分的个位进1,同时秒的十位和个位置为0,分钟和小时的计数功能与之类似。当“LDN”为0,“CLRN”为1,“EN”为1时,时钟模块实现加载功能。

(2)原理连接图

(3)波形仿真

时钟电路时的进制仿真图(43s到1min)

时钟电路时的进制仿真图(42min:42s到1h)

时钟电路时的进制仿真图(23h:42min:42s到00:00:00)

从波形图可见当秒针计数器满43秒,有进位;当分针计数器满43分钟,有进位;当小时计数器满24小时,计数器清零,可见,达到了设计要求。

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

北航最优化方法大作业参考

北航最优化方法大作业参考

1 流量工程问题 1.1 问题重述 定义一个有向网络G=(N,E),其中N是节点集,E是弧集。令A是网络G的点弧关联矩阵,即N×E阶矩阵,且第l列与弧里(I,j)对应,仅第i行元素为1,第j行元素为-1,其余元素为0。再令b m=(b m1,…,b mN)T,f m=(f m1,…,f mE)T,则可将等式约束表示成: Af m=b m 本算例为一经典TE算例。算例网络有7个节点和13条弧,每条弧的容量是5个单位。此外有四个需求量均为4个单位的源一目的对,具体的源节点、目的节点信息如图所示。这里为了简单,省区了未用到的弧。此外,弧上的数字表示弧的编号。此时,c=((5,5…,5)1 )T, ×13 根据上述四个约束条件,分别求得四个情况下的最优决策变量x=((x12,x13,…,x75)1× )。 13 图 1 网络拓扑和流量需求

1.2 7节点算例求解 1.2.1 算例1(b1=[4;-4;0;0;0;0;0]T) 转化为线性规划问题: Minimize c T x1 Subject to Ax1=b1 x1>=0 利用Matlab编写对偶单纯形法程序,可求得: 最优解为x1*=[4 0 0 0 0 0 0 0 0 0 0 0 0]T 对应的最优值c T x1=20 1.2.2 算例2(b2=[4;0;-4;0;0;0;0]T) Minimize c T x2 Subject to Ax2=b2 X2>=0 利用Matlab编写对偶单纯形法程序,可求得: 最优解为x2*=[0 4 0 0 0 0 0 0 0 0 0 0 0]T 对应的最优值c T x2=20 1.2.3 算例3(b3=[0;-4;4;0;0;0;0]T) Minimize c T x3 Subject to Ax3=b3 X3>=0 利用Matlab编写对偶单纯形法程序,可求得: 最优解为x3*=[4 0 0 0 4 0 0 0 0 0 0 0 0]T 对应的最优值c T x3=40

现代设计方法-习题集(含答案)

《现代设计方法》课程习题集 西南科技大学成人、网络教育学院 版权所有 习题 【说明】:本课程《现代设计方法》(编号为09021)共有单选题,计算题,简答题, 填空题等多种试题类型,其中,本习题集中有[ 填空题,单选题]等试题类型未进入。 一、计算题 1. 用黄金分割法求解以下问题(缩小区间三次)。 342)(m in 2+-=x x x f ,给定初始区间[][]3,0,=b a ,取1.0=ε。 2. 用黄金分割法求解以下问题(缩小区间三次) 32)(m in 2+=x x f ,给定[][],1,2a b =-,取1.0=ε 3. 用黄金分割法求解以下问题(缩小区间三次) 432+=x )x (f min ,给定[][]40,b ,a =,取10.=ε。 4. 用黄金分割法求解以下问题(缩小区间三次)。 12)(m in 3+-=x x x f ,给定初始区间[][]3,0,=b a ,取5.0=ε 5. 用黄金分割法求解以下问题(缩小区间三次)。 107)(m in 2+-=x x x f ,给定初始区间[][]3,0,=b a ,取1.0=ε 6. 用梯度法求解无约束优化问题: 168)(m in 22221+-+=x x x X f ,取初始点[]T X 1,1)0(= ,计算精度1.0=ε。 7. 用梯度法求解96)(m in 12221+-+=x x x X f ,[]T X 1,1)0(= ,1.0=ε。 8. 用梯度法求解44)(m in 22221+-+=x x x X f ,[]T X 1,1)0(=,1.0=ε 。 9. 用梯度法求解无约束优化问题:1364)(m in 222 121+-+-=x x x x X f ,取初始点

数电 简易数字计时时钟电路设计

闽南师范大学物理与信息工程院 课程设计报告课题:简易数字计时电路设计 姓名: 学号: 系别: 专业: 年级: 指导教师: 2013年11 月3 日

摘要:本课设是以并联谐振方式经过二分频产生一个秒脉冲,依次通过十分频、六分频、十分频三个电路产生一个时间能达到九分五十九秒的时钟。具有报警、清零、启动计时、暂停计时及继续计时等功能。在电源上也是采用简单实用的稳压电源。该电路节省成本,电路原理清晰,稍作修改可以用来当做闹钟、计时等。 关键词:计时报警 74LS161 CD4060 CD4011 74LS48

目录 1.设计任务 (4) 1.1 设计目的 (4) 1.2 设计要求 (4) 2.设计方案 (5) 2.1 设计总框图 (5) 2.1.1 设计思路 (5) 2.2 直流稳压电源 (5) 2.3 秒脉冲信号发生器电路 (6) 2.4 分频电路 (7) 2.5 显示及其驱动电路 (8) 2.6 即时时间设置电路 (8) 2.7 报警选频电路 (10) 2.8 蜂鸣器驱动电路 (10) 3.系统测试 (11) 3.1 电路的检查 (11) 3.2 电路板的调试及其问题 (11) 3.3 数据测量 (12) 4.结论 (14) 5.参考资料 (14) 6.附录 (14) 6.1 元器件清单 (14) 6.2 仪器设备清单 (15) 6.3 原理图 (15) 6.4 PCB图 (16) 6.5 实物图 (17)

1.设计任务 1.1设计目的 1.熟悉中、小规模数字集成电路的使用方法。 2.熟悉常用分频、计数、译码、显示等电路。 3.掌握数字电路设计、组装、调试方法。 1.2设计要求 1.具有“分”“秒”显示的计时电路(9分59秒)。 2.具有随时计时清零的功能。 3.秒信号产生、系统电源设计。 4.具有调整“分”“秒”的功能。 5.计时将满时具有声音提示功能: 9分51秒、53秒、55秒、57秒、59秒输出前4响低音,后1响高音鸣叫。步长为1秒,最后1响结束时正好为整点。(低音500Hz左右,高音1000Hz左右)。 7.用中小规模集成电路实现,画出系统框图、各单元逻辑电路图。 6.铺铜板板的大小(10cm * 10cm)。 2. 设计方案 2.1 设计总框图 图2.1简易数字计时电路设计总框图

最优化方法大作业答案

1.用薄钢板制造一体积5m 3,长度不小于4m ,无上盖的货箱,要求钢板耗量最小。确定货箱的长x 1、宽x 2和高x 3。试列出问题的数学模型。 解:min 32312122x x x x x x z ++= s.t 5321=x x x 41≥x 0,,321≥x x x 2.将下面的线性规划问题表示为标准型并用单纯形法求解 max f=x 1+2x 2+x 3 s .t .2x 1+x 2-x 3≤2 -2x 1+x 2-5x 3≥-6 4x 1+x 2+x 3≤6 x i ≥0 i=1,2,3 解:先化标准形: Min 321x x x z -+= 224321=+-+x x x x 6525321=++-x x x x 646321=+++x x x x 列成表格:

1 2 1 610011460105122001112----- 可见此表已具备1°,2°,3°三个特点,可采用单纯形法。首先从底行中选元素-1,由2/2,6/2,6/4最小者决定选第一行第一列的元素2,标以记号,迭代一次得 1 2 1 2102310401162010021212 11-------- 再从底行中选元素-2/3,和第二列正元素1/2,迭代一次得 1 2 12 32 30 210231040116201002121211- ------ 再从底行中选元素-3,和第二列正元素2,迭代一次得 4 2 3 3 410120280114042001112--- 再迭代一次得 10 2 30 2 10 6 221023 1010213000421021013-- 选取最优解:

机电产品现代设计方法大作业

课程名称:机电产品现代设计方法 上课时间:2014年春季 雷达底座转台设计 姓名: 学号: 班级:1108103 所在学院:机电工程学院 任课教师:金天国张旭堂

1.设计任务 雷达底座转台设计:一个回转自由度,如下图1.1所示。 图1.1 承载能力:500kg 被测件最大尺寸: 台面跳动:0.02mm 台面平面度:0.02mm 台面布置T型槽,便于安装负载 方位转角范围: 具有机械限位和锁紧机构 角度位置测量精度: 角度位置测量重复性: 角速范围: 2.设计流程 根据机电产品现代设计方法,其设计流程大致如下图2.1所示。 图2.1

根据上图所示,整个设计过程可分为四个阶段:功能设计、总体方案设计、详细设计和设计。 功能设计部分,是在结合所给出的重要性的要求及用户可能的功能目标需求的前提下,对转台的功能进行定义分析,将每一个功能细化为一个个的功能元,利用QFD图对实现各种功能的所对应的技术的相对重要性进行分析,相对重要性较高的功能技术便是设计的重点所在。 总体方案设计部分,通过利用SysML语言来明确各部分之间的功能参数和参数约束关系,并完成草图的设计。 详细设计部分,需要使得零件实现其预定的功能,并保证其精度和强度的设计要求。在详细设计阶段主要是利用cad等三维建模软件,完成系统的3D图,并生产对应的2D图,完成整个设计。对于重要的零部件需要利用有限元软件进行仿真分析,保证其可靠性。最后还需要应用动力学和运动学仿真软件进行相关的动力学和运动学分析,确定设计系统满足功能目标要求。 设计总结部分,是对整个设计过程进行反思和总结,考虑整个设计过程中存在的不足和所运用的相关知识。 3.QFD需求-功能-技术分析 QFD(全称Qualification Function Deployment),是用来对所设计的系统进行总体设计规划的工具。QFD主要功能是能够实现工程设计与消费者或用户需求之间的紧密连接,根据消费者需求和用户目标实现对设计过程的实时修改和控制,把用户的功能目标在整个设计过程中得以体现,并根据需求的重要性对整个系统做出相应的设计规划,有重点的进行设计。 本设计根据用户对于雷达底座转台的功能重要性的需求,首先给出其需求和功能之间的联系,如下图3.1所示的质量屋,屋顶为系统的功能,包括驱动元件的转速、体积、重量,及传动元件和传感器的可靠性等,左侧围用户对于系统的功能目标的需求,由用户直接给出的功能,如角度位置测量精度:、角度位置测量重复性:、角速范围: 等和用户潜在的功能需求,如人机交互、成本、节能等方面的需求组成。 图 3.1中各功能需求后面的数字代表着这些功能的相对重要性,即importance of whats,其数字越大代表其重要性越高,用户对于这些需求的重要性之和应该等于100。质量屋屋顶代表各部分功能之间的相互联系,分为positive、negative和不明确三种情况。

261-简易电子钟论文

专业课程设计 基于单片机的简易电子钟设计 专业课设说明: 本次专业课程设计通过对本专业知识的学习、应用,以STC89C51单片机为核心,辅以必要的电路,设计了一个简易的电子时钟,它由5V直流电源供电,通过数码管能够准确显示时间,调整时间。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。 本次课设由本人(傅锦城136712117)孙龙龙(136712116)黄宗旭(136712107)为一组共同完成。本人负责搜集简易时钟的设计和制作原理以及所用电路元件的参数资料和使用方法等相关资料。并且负责电路的焊接工作。孙龙龙负责单片机程序的编写。黄宗旭负责电路原理图的绘制和模拟。 1. 系统基本设计思路 此设计是在数码管上显示时、分和秒,电路包括:键盘、单片机及显示驱动电路。 各部分说明: (1)键盘用于校正、调节数码管上显示的时间。 (2)单片机通过输出各种电脉冲信号开驱动控制各部分正常工作。 (3)单片机发送的信号经过显示电路通过译码最终在数码管上显示出来。 (4)按键还可以切换12小时制和24小时制,并有指示灯。 系统工作过程:时间的主要处理过程是在CPU中完成的。CPU会随时对时间进行读取数据的操作。在读取了相应的寄存器的值后,CPU将读取的值进行处理,再通过I/O口把数据显示在数码管上。 2. 单元电路方案 根据设计要求,本系统主要由控制器模块、显示驱动模块和输入模块构成。 2.1 控制器模块 采用51系列作为系统控制器 单片机算术运算功能强,软件编程灵活、自由度大,可用软件编程实现各种算法和逻辑控制。由于其功耗低、体积较小、技术成熟和成本低等优点,在各个领域应用广泛。而且抗干扰性能好。 2.2 计时模块 本方案完全用软件实现数字时钟。原理为:在单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将十字节清零。该方案具有硬件电路简单的特点。但由于每次执行程序时,定时器都要重新赋初值,所以该时钟精度不高。而且,由于是软件实现,当单片机不上电,程序不执行时,时钟将不工作。 2.3 显示模块 采用LED数码管

最优化方法大作业

发动机空燃比控制器 引言:我主要从事自动化相关研究。这里介绍我曾经接触过的发动机空燃比控制器设计中的优化问题。 发动机空燃比控制器设计中的最优化问题 AFR =a f m m && (1) 空燃比由方程(1)定义,在发动机运行过程中如果控制AFR 稳定在14.7可以获 得最好的动力性能和排放性能。如果假设进入气缸的空气流量a m &可以由相关单元检测得到,则可以通过控制进入气缸的燃油流量f m &来实现空燃比的精确控制。由于实际发动机的燃油喷嘴并不是直接对气缸喷燃油,而是通过进气歧管喷燃油,这么做会在进 气歧管壁上液化形成油膜,因此不仅是喷嘴喷出的未液化部分燃油会进入气缸,油膜 蒸发部分燃油也会进入气缸,如方程(2)。这样如何更好的喷射燃油成为了一个问题。 1110101122211ττττ?? ?? -?? ??????????=+????????-????????????-???? ? ??? ?? ????????? ?f f f v X x x u x x X x y =x && (2) 其中12、,==ff fv x m x m &&=f y m &,=fi u m &这里面,表示油膜蒸发量ff m &、fv m &表示为液化部分燃油、fi m &表示喷嘴喷射的燃油,在τf 、τv 、X 都已知的情况下,由现代控制理论知识,根据系统的增广状态空间模型方程(3) 0000001 1 011011114.70ττττ????-?? ??????????=-+-??????????????? ??????????????? ?? ??=?????? f f v v a X X u +q q m y q x x x &&& (3) 其中()0 14.7?t a q = y -m &。由极点配置方法,只要设计控制器方程(4),就可以 使得y 无差的跟踪阶跃输入,那么y 也能较好的跟踪AFR *a m /&。 12-- u =K q K x (4) 这里面的12、K K 确定,可由主导极点概念降维成两个参数12C ,C ,虽然都是最终稳态无差,但是目标是使得瞬态过程中y 和阶跃输入y r 的差异尽可能的小。所以原问

最优化原理大作业

基于粒子群算法的神经网络在电液伺服系统中的应用 摘要:由于人工神经网络在解决具有非线性、不确定性等系统的控制问题上具有极大的潜力,因而在控制领域正引起人们的极大关注,并且已在一些响应较慢的过程控制中获得成功应用。由于电液伺服系统属 于非线性系统,因此本文利用神经网络控制电液伺服系统,并利用粒子群优化算法训练该神经网络的 权值。通过对神经网络的优化实现对电液伺服系统的控制。 关键词:神经网络电液伺服系统粒子群算法优化 近年来,由于神经网络具有大规模并行性、冗余性、容错性、本质的非线性及自组织自学习自适应能力,所以已成功地应用于众多领域。但在具有复杂非线性特性的机电设备的实时控制方面,虽然也有一些神经网络技术的应用研究,但距实用仍有一段距离。电液伺服系统就属于这类设备[1]。 神经网路在用于实时控制时,主要是利用了网络所具有的其输人——输出间的非线性映射能力。它实际上是通过学习来逼近控制对象的动、静态特性。也就是构造实际系统的神经网络模型[2]。本文利用神经网络控制一电液伺服系统,并利用粒子群优化算法训练该神经网络的权值,将结果与BP神经网络控制该系统的结果进行比较。从而得在电液伺服系统中引入神经网络是可行的。 1、粒子群算法 粒子群优化算法(Particle Swarm optimization, PSO)是一种进化计算技术, 由Eberhart博士和kennedy博士发明, 源于对鸟群捕食的行为研究, 粒子群优化算法的基本思想是通过群体中个体之间的协作和信息共享来寻找最优解[3]。算法最初受到飞鸟和鱼类集群活动的规律性启发,利用群体智能建立了一个简化模型,用组织社会行为代替了进化算法的自然选择机制,通过种群间个体协作来实现对问题最优解的搜索[4]。 在找到这两个最优值时, 粒子根据如下的公式来更新自己的速度和新的位置 v[]=v[]+c1*rand()*(pbest[]-present[]) + c2*rand()*(gbest[]-present[]) present[]=persent[]+v[] 式中ω为惯性权重,ω取大值可使算法具有较强的全局搜索能力,ω取小值则算法倾向于局部搜索。一般的做法是将ω初始取0.9并使其随迭代次数的增加而线性递减至0.4,这样就可以先侧重于全局搜索,使搜索空间快速收敛于某一区域,然后采用局部精细搜索以获得高精度的解;c1、c2为两个学习因子,一般取为2;randl和rand2为两个均匀分布在(0,l)之间的随机数;i=1,2,?,m;k=1,2,?,d。另外,粒子在每一维的速度Vi都被一个最大速度Vmax所限制。如果当前粒子的加速度导致它在某一维的速度 超过该维上的最大速度Vmax,则该维的速度被限制为最大速度[5]。 粒子群算法流程如下: (一)初始化粒子群。设群体规模为m,在允许的范围内随机设置粒子的初始位置和速 度。 (二)评价每个粒子的适应值。 (三)调整每一个粒子的位置和速度。 (四)如果达到最大迭代次数genmax或误差达到最初设定数值终止迭代,否则返回(2)。 2、神经网络 神经网络一般由输入层、隐含层、输出层组成。对于输入信号,先向前传播到隐节点,经过节点作用函数后,再把隐节点的输出信息传播到输出节点,最后输出结果。节点的作用函数通常选取S 型函数f(x)=1/(1+e-x)。神经网络算法的学习过程分为正

北航惯性导航大作业

惯性导航基础课程大作业报告(一)光纤陀螺误差建模与分析 班级:111514 姓名: 学号 2014年5月26日

一.系统误差原理图 二.系统误差的分析 (一)漂移引起的系统误差 1. εx ,εy ,εz 对东向速度误差δVx 的影响 clc;clear all; t=1:0.01:25; g=9.8; L=pi/180*39; Ws=2*pi/84.4*60; Wie=2*pi/24; R=g/(Ws)^2; e=0.1*180/pi; mcVx1=e*g*sin(L)/(Ws^2-Wie^2)*(sin(Wie*t)-Wie*sin(Ws*t)/Ws); mcVx2=e*((Ws^2-(Wie^2)*((cos(L))^2))/(Ws^2-Wie^2)*cos(Ws*t)-(Ws^2)*((sin(L))^2)*cos(Wi e*t)/(Ws^2-Wie^2)-(cos(L))^2); mcVx3=(sin(L))*(cos(L))*R*e*((Ws^2)*cos(Wie*t)/(Ws^2-Wie^2)-(Wie^2)*cos(Ws*t)/(Ws^2-Wi e^2)-1); plot(t,[mcVx1',mcVx2',mcVx3']); title('Ex,Ey,Ez 对Vx 的影响'); xlabel('时间t'); ylabel('Vx(t)'); 0,δλδL ,v v δδ

legend('Ex-mcVx1','Ey-mcVx2','Ez-mcVx3'); grid; axis square; 分析:εx,εy,εz对东向速度误差δVx均有地球自转周期的影响,εx,εy还会有舒勒周期分量的影响,其中,εy对δVx的影响较大。 2.εx,εy,εz对东向速度误差δVy的影响 clc;clear all; t=1:0.01:25; g=9.8; L=pi/180*39; Ws=2*pi/84.4*60; Wie=2*pi/24; R=g/(Ws)^2; e=0.1*180/pi; mcVy1=e*g*(cos(Wie*t)-cos(Ws*t))/(Ws^2-Wie^2); mcVy2=g*sin(L)*e/(Ws^2-Wie^2)*(sin(Wie*t)-Wie/Ws*sin(Ws*t)); mcVy3=g*cos(L)*e/(Ws^2-Wie^2)*(sin(Wie*t)-Wie/Ws*sin(Ws*t)); plot(t,[mcVy1',mcVy2',mcVy3']); title('Ex,Ey,Ez对Vy的影响'); xlabel('时间t'); ylabel('Vy(t)'); legend('Ex-mcVy1','Ey-mcVy2','Ez-mcVy3'); grid; axis square;

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:黄土标黄维超蔡荣达孙清玉 指导老师:麦山 日期:2013/12/27 摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动清零和校正的功能。 关键词数字电子钟;计数器;GAL;4040芯片;M74LS125AP三态门 1设计任务及其工作原理 1.1设计任务 设计一台能显示时,分,秒的数字电子钟。 技术要求: (1)秒、分为00~59六十进制计数器。

(2)时为00~23二十四进制计数器。 (3)可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入校正。并且可以手动按下脉冲进行清零。 1.2工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用 GAL16V8D设计成六十进制计数器和用GAL22V10。秒的个位,设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2电路的组成 2.1 计数器部分:利用GAL16V8D和GAL22V10芯片分别组成二十四进制计数器和六十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2 显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D和GAL16V8D、4040芯片和M74LS125AP三态门芯片设计一个分频器,使连续输出脉冲信号时间间隔为0.5s

最优化方法大作业答案

武工院你们懂的 1.用薄钢板制造一体积5m 3,长度不小于4m ,无上盖的货箱,要求钢板耗量最小。确定货箱的长x 1、宽x 2和高x 3。试列出问题的数学模型。 解:min 32312122x x x x x x z ++= s.t 5321=x x x 41≥x 0,,321≥x x x 2.将下面的线性规划问题表示为标准型并用单纯形法求解 max f=x 1+2x 2+x 3 s .t .2x 1+x 2-x 3≤2 -2x 1+x 2-5x 3≥-6 4x 1+x 2+x 3≤6 x i ≥0 i=1,2,3 解:先化标准形: Min 321x x x z -+= 224321=+-+x x x x 6525321=++-x x x x 646321=+++x x x x

列成表格: 00001216 100114 60105122001112----- 可见此表已具备1°,2°,3°三个特点,可采用单纯形法。首先从底行中选元素-1,由2/2,6/2,6/4最小者决定选第一行第一列的元素2,标以记号,迭代一次得 0000 1 2 121023 10 40116201002 1 21 211-------- 再从底行中选元素-2/3,和第二列正元素1/2,迭代一次得 1 002 1232 30210231 040116201002121211-- ----- 再从底行中选元素-3,和第二列正元素2,迭代一次得 4002 3 03410120280114042001112--- 再迭代一次得

10 23021 062 21023 1010 213 000421 2 10 13- - 选取最优解: 01=x 42=x 23=x 3. 试用DFP 变尺度法求解下列无约束优化问题。 min f (X )=4(x 1-5)2+(x 2-6)2 取初始点X=(8,9)T ,梯度精度ε=0.01。 解:取I H =0,初始点()T X 9,8= 2221)6()5(4)(-+-=x x x f ??????--=?122408)(21x x x f ???? ??=?624)() 0(x f T x f d )6,24()()0()0(--=-?= )0(0)0()1(d x x α+= T )69,248(00αα--= ])669()5248(4min[)(min 2020)0(0)0(--+--?=+αααd x f )6()63(2)24()2458(8) (00)0(0)0(=-?-+-?--=+ααααd d x df 13077.013017 0≈= α ???? ??=???? ??--?+???? ??=21538.886153.462413077.098)1(x

现代设计方法_习题集(含答案)(优选.)

最新文件---------------- 仅供参考--------------------已改成-----------word 文本 --------------------- 方便更改 赠人玫瑰,手留余香。 《现代设计方法》课程习题集 西南科技大学成人、网络教育学院 版权所有 习题 【说明】:本课程《现代设计方法》(编号为09021)共有单选题,计算题,简答题, 填空题等多种试题类型,其中,本习题集中有[ 填空题,单选题]等试题类型未进入。 一、计算题 1. 用黄金分割法求解以下问题(缩小区间三次)。 342)(m in 2+-=x x x f ,给定初始区间[][]3,0,=b a ,取1.0=ε。 2. 用黄金分割法求解以下问题(缩小区间三次) 32)(m in 2+=x x f ,给定[][],1,2a b =-,取1.0=ε

3. 用黄金分割法求解以下问题(缩小区间三次) 432+=x )x (f min ,给定[][]40,b ,a =,取10.=ε。 4. 用黄金分割法求解以下问题(缩小区间三次)。 12)(m in 3+-=x x x f ,给定初始区间[][]3,0,=b a ,取5.0=ε 5. 用黄金分割法求解以下问题(缩小区间三次)。 107)(m in 2+-=x x x f ,给定初始区间[][]3,0,=b a ,取1.0=ε 6. 用梯度法求解无约束优化问题: 168)(m in 22221+-+=x x x X f ,取初始点[]T X 1,1)0(= ,计算精度1.0=ε。 7. 用梯度法求解96)(m in 12221+-+=x x x X f ,[]T X 1,1)0(= ,1.0=ε。 8. 用梯度法求解44)(m in 22221+-+=x x x X f ,[]T X 1,1)0(=,1.0=ε 。 9. 用梯度法求解无约束优化问题:1364)(m in 222 121+-+-=x x x x X f ,取初始点[]T X 1,1)0(=,计算精度1.0=ε。 10. 用梯度法求解1212221422)(m in x x x x x X f --+=,[]T X 1,1)0(=,1.0=ε 。(请迭代两次) 11. 有三个可靠度均为0.9的子系统组成的并联系统,试比较纯并联及2/3[G]表决系统的可靠度。 12. 一个由2个子系统组成的系统,其可靠度指标为0.85,试按等同分配法分配子系统的可靠度:(1)组成串联系统,(2)组成并联系统。 13. 已知某零件的应力和强度均呈正态分布,零件强度:MPa 516=δμ(均值),MPa S 2.24=δ(标准差),应力:MPa 378=σμ(均值),Mpa S 5.41=σ(标准差),试计算零件的可靠度与失效概率。 14. 由应力分析表明,某零件所承受的应力是拉应力,可用正态分布来描述,MPa T 3500=μ,标准差MPa S T 400=。该零件在制造过程中所引起的残余应力也可用正态分布来描述,其均值MPa C 1000=μ,标准差MPa S C 150=。由强度分析表明,该零件的强度也服从正态分布,其均值MPa 5000=δμ。现要求出当保证该零件的可靠度不低0.999时,零件强度的标准差的最低值应为多少?

简易数字钟的设计

第三单元简易数字钟的设计 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无需机械传动等优点。因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数字电子钟,数字钟到处可见。 在数字电路的学习中,已经学习过用计数器芯片搭建数字钟。51单片机内部集成了定时器/计数器,这为构建数字钟带来了方便。在本单元中,学习如何用51单片机来构建一个功能数字钟。 【任务要求】 在6个数码管上显示时、分、秒,共6位数字。 通过单片机内部定时器控制走时,走时准确。 系统有四个按键,功能分别是调整时间,加,减,确定。在按下调整键时候,显示“时”的两位数码管以1 Hz 频率闪烁。如果再次按下调整键,则“分”开始闪 烁,“时”恢复正常显示,依次循环,直到按下确定键,恢复正常的显示。在数码 管闪烁的时候,按下加或者减键可以调整相应的显示内容。按键支持短按和长按, 即短按时,所修改的数字每次增加1或者减小1,长按时候以一定速率连续增加或 者减少10。 【学习知识点】 数码管的原理,驱动程序的实现。 51单片机内部定时器的原理及应用 独立按键的原理及程序的实现。 【内容安排】 第一节:数码管显示原理及应用实现 第二节:独立按键检测原理及应用实现 第三节:计时的原理及实现 第四节:基于定时器的程序改进 第五节:数字钟的构建

第一节数码管显示原理及应用实现 1.1 数码管显示原理 数字钟要把时间显示到数字显示装置上,常用的数字显示装置有数码管、液晶、LED、CRT显示器等。在单片机系统设计中,LED数码管是最基本的显示装置。在数字钟的设计中我们用数码管对中的小时、分和表来进行显示。 LED数码管能显示各种数字或符号,由于它具有显示清晰、亮度高、寿命长、价格低廉等特点,因此使用非常广泛。图1.1是几个数码管的图片:a图为单位数码管, b图为双位数码管,c图为四位数码管。 a 单位数码管 b 双位数码管 c 四位数码管 图1.1 数码管图片 那么数码管是如何的工作呢?还记得我们小时候玩过的“火柴棒游戏”吗,几根火柴组合起来,可以拼成各种各样的图形,数码管实际上就是利用这个原理做成的。 图1.2 单个数码管引脚标号,共阴和共阳的内部连接图

北航数值分析大作业第二题精解

目标:使用带双步位移的QR 分解法求矩阵10*10[]ij A a =的全部特征值,并对其中的每一个实特征值求相应的特征向量。已知:sin(0.50.2)() 1.5cos( 1.2)(){i j i j ij i j i j a +≠+== (i,j=1,2, (10) 算法: 以上是程序运作的逻辑,其中具体的函数的算法,大部分都是数值分析课本上的逻辑,在这里特别写出矩阵A 的实特征值对应的一个特征向量的求法: ()[]()() []()[]()111111I 00000 i n n n B A I gause i n Q A I u Bu u λλ-?-?-=-?-?? ?-=????→=??????→= ?? ? 选主元的消元 检查知无重特征值 由于=0i A I λ- ,因此在经过选主元的高斯消元以后,i A I λ- 即B 的最后一行必然为零,左上方变 为n-1阶单位矩阵[]()()11I n n -?-,右上方变为n-1阶向量[]()11n Q ?-,然后令n u 1=-,则 ()1,2,,1j j u Q j n ==???-。

这样即求出所有A所有实特征值对应的一个特征向量。 #include #include #include #define N 10 #define E 1.0e-12 #define MAX 10000 //以下是符号函数 double sgn(double a) { double z; if(a>E) z=1; else z=-1; return z; } //以下是矩阵的拟三角分解 void nishangsanjiaodiv(double A[N][N]) { int i,j,k; int m=0; double d,c,h,t; double u[N],p[N],q[N],w[N]; for(i=0;i

简易数字钟的设计

电 子 技 术 课 程 设 计 专业:电气工程及其自动化 学号: 姓名: 指导老师:

简易数字钟的设计 【摘要】本次在对简易数字钟进行设计中,提出了两种整体设计方案,设 计过程中对两种方案不断进行尝试,不断比较,在比较两个方案的优缺点后, 选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各 个模块的结构,再对模块内部进行详细设计。在之后详细设计的时候又根据 可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合并调试。 【关键词】 电路,数字钟,74LS160,子电路 一、引言 随着社会的进步,科技发展的速度越来越快,科技产品更新的频率加大,而且当今很多领域大都用到数字钟,我们身边也遍布与数字钟有关的生活用品。。 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。在做本简易数字钟设计之前,通过老师及查阅资料,我知道有对此多种设计方案 数字电路是我们计算机科学与技术学科的基础,数字电路实验是学习数字电路的一个重要环节,它不仅能巩固理论知识的学习,而其能提高实验动手能力,增强设计和调试电路的能力.设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、设计要求 能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。小时的计时要求为“12翻1”,分和秒的计时要求为60进位。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…

大连理工优化方法大作业MATLAB编程

function [x,dk,k]=fjqx(x,s) flag=0; a=0; b=0; k=0; d=1; while(flag==0) [p,q]=getpq(x,d,s); if (p<0) b=d; d=(d+a)/2; end if(p>=0)&&(q>=0) dk=d; x=x+d*s; flag=1; end k=k+1;

if(p>=0)&&(q<0) a=d; d=min{2*d,(d+b)/2}; end end %定义求函数值的函数fun,当输入为x0=(x1,x2)时,输出为f function f=fun(x) f=(x(2)-x(1)^2)^2+(1-x(1))^2; function gf=gfun(x) gf=[-4*x(1)*(x(2)-x(1)^2)+2*(x(1)-1),2*(x(2)-x(1)^2)]; function [p,q]=getpq(x,d,s) p=fun(x)-fun(x+d*s)+0.20*d*gfun(x)*s'; q=gfun(x+d*s)*s'-0.60*gfun(x)*s'; 结果: x=[0,1]; s=[-1,1]; [x,dk,k]=fjqx(x,s) x =-0.0000 1.0000 dk =1.1102e-016 k =54

function f= fun( X ) %所求问题目标函数 f=X(1)^2-2*X(1)*X(2)+2*X(2)^2+X(3)^2+ X(4)^2- X(2)*X(3)+2*X(1)+3*X(2)-X(3); end function g= gfun( X ) %所求问题目标函数梯度 g=[2*X(1)-2*X(2)+2,-2*X(1)+4*X(2)-X(3)+3,2*X(3)-X(2)-1,2*X(4)]; end function [ x,val,k ] = frcg( fun,gfun,x0 ) %功能:用FR共轭梯度法求无约束问题最小值 %输入:x0是初始点,fun和gfun分别是目标函数和梯度 %输出:x、val分别是最优点和最优值,k是迭代次数 maxk=5000;%最大迭代次数 rho=0.5;sigma=0.4;

现代设计方法大作业

机电工程学院 现代设计方法大作业基于汽车噪声的TRIZ分析 学号:S314070064 专业:机械工程 学生姓名:*** 任课教师:*** 教授 2015年1月

基于汽车噪声的TRIZ分析 一对技术系统进行初步分析 1.选择系统。 我所选择的系统是汽车。 2.系统的三维图,如图1所示。 图1 汽车的三维图 汽车工作原理:汽车的行驶主要靠发动机来带动,以四冲程汽油机为例,四冲程汽油机是将空气与汽油或柴油以一定的比例混合成良好的混合气,在吸气冲程被吸入汽缸,混合气经压缩点火燃烧而产生热能,高温高压的气体作用于活塞顶部,推动活塞作往复直线运动,通过连杆、曲轴飞轮机构对外输出机械能。四冲程汽油机在进气冲程、压缩冲程、做功冲程和排气冲程内完成一个工作循环。汽油机简图及其具体运动过程如图2所示。 图2 四冲程汽油机工作循环图 (1)进气行程 化油器式汽油机将空气与燃料先在气缸外部的化油器中进行混合,然后再吸入气缸。进气行程中,进气门打开,排气门关闭。随着活塞从上止点向下止点移

动,活塞上方的气缸容积增大,从而气缸内的压力降低到大气压力以下,即在气缸内造成真空吸力。这样,可燃混合气便经进气管道和进气门被吸入气缸。 (2)压缩行程 为使吸入气缸内可燃混合气能迅速燃烧,以产生较大的压力,从而使发动机发出较大功率,必须在燃烧前将可燃混合气压缩,使其容积缩小、密度加大、温度升高,即需要有压缩过程。在这个过程中,进、排气门全部关闭,曲轴推动活塞由下止点向上止点移动一个行程称为压缩行程。 (3)作功行程 在这个行程中,进、排气门仍旧关闭。当活塞接近上止点时,装在气缸盖上的火花塞即发出电火花,点燃被压缩的可燃混合气。可燃混合气被燃烧后,放出大量的热能,因此,燃气的压力和温度迅速增加,所能达到的最高压力约为3-5Mpa,相应的温度则为2200-2800K。高温高压的燃气推动活塞从上止点向下止点运动,通过连杆使曲轴旋转并输出机械能,除了用于维持发动机本身继续运转而外,其余即用于对外作功。 (4)排气行程 可燃混合气燃烧后生成的废气,必须从气缸中排除,以便进行下一个进气行程。当膨胀接近终了时,排气门开启,靠废气的压力进行自由排气,活塞到达下止点后再向上止点移动时,继续将废气强制排到大气中。活塞到上止点附近时,排气行程结束。 汽车的执行机构:轮胎。 作用对象:路面。 3.汽车系统的黑箱图。 汽车的黑箱图如图3所示。 图3 汽车系统黑箱图 4.确定系统主要有益功能和其它功能。 汽车主要有益功能:载运客、货物和牵引客、货挂车。

相关文档
最新文档