计算机系统结构复习题

Q uestion 4

A single-issue processor uses tomasulo’s algorithm in its floating-point unit, which has one adder and one multiplier,each with its own set of reservation station,there is only one CD

B ,and broadcast on this CDB takes an entire cycle.the processor is executing the following sequence of instruction and, for each instruction ,we show the cycle in which the instruction is

fetched,decoded,issued,begins to execute,and writes result.

单发射处理器在其浮点单元中使用托马斯算法,其具有一个加法器和一个乘法器,每个具有其自己的一组保留站,只有一个CDB,并且在该CDB上广播需要整个周期。处理器正在执行以下指令序列,并且对于每个指令,我们示出指令被取出,解码,发出,开始执行和写入结果的周期。

instruction fetch decode issue execute Write result I1 MUL R1,R2,R2 1 2 3 4 8

I2 ADD R1,R1,R2 2 3 4 9 10

I3 MUL R2,R2,R3 3 4 5 8 13

I4 ADD R3,R1,R1 4 5 6 11 12

I5 MUL R1,R1,R1 5 6 7 12 16

I6 ADD R2,R3,R4 6 7 11 ?? ??

I7 ADD R1,R5,?? 7 8 13 17 18

1、what is the latency of the multiplier?4

2、Is the multiplier pipelined?N

3、How many reservation station are there for the adder?2

4、In which cycle does I6 begin to execute? 13

5、Which register does ?? Represent in I7R1

6、If the priory for using CDB depends on the type of instruction,between ADD and MUL the priority for using the CDB goes to ___? ADD

Q uestion 8(书本92页)

.Loop: LD R1,0(R2) ;load R1 from address 0+R2

DADDI R1,R1,#1 ;R1=R1+1

SD R1,0,(R2) ;store R1 at address 0+R2

DADDI R2,R2,#4 ;R2=R2+4

DSUB R4,R3,R2 ;R4=R3-R2

BNEZ R4,Loop ;branch to Loop if R4!=0

Assume that the initial value of R3 is R2 + 396.

时钟周期为:17*98+18 = 1684

(2)依题意可得,指令序列执行的流水线时空图如下:

时钟周期为:10*98+11 = 991

(3)依题意可得,指令序列执行的流水线时空图如下:

时钟周期为:6*98+10 = 598

Question 9

9a) What is the effective access time of a cache memory system in which thereis a 2-way set associative cache, having the following parameters:

Parameter: Value:

number of sets 1024 sets

line size 16 words

cache access time 15 ns/line

main memory access time 70 ns/word

main memory address space size 256M words

cache hit rate 95%

Label the fields of the memory address below used to access the cache and indicate thesize of each field (in number of bits). Assume that memory isword-addressed.

Tag : _14_ bits Index : _10_ bits Offset : _4_ bits 9b) What is the effective access time of a cache memory system in which thereis a direct mapped level 1 (L1) cache and a fully associative level 2 (L2) cache, having thefollowing parameters:

Parameter:Value:

L1 number of sets128 sets

L1 line size 4 words

L1 cache access time10 ns/line

L2 line size8 words

L2 cache access time20 ns/line

main memory access time70 ns/word

main memory size256M words

L1 cache hit rate95%

L2 cache hit rate89%

Label the fields of the memory address below used to access the L1 cache and indicate thesize of each field (in number of bits). Assume that memory is word-addressed.

Tag : _19_ bits Index :_7_ bits Offset :_2_ bits Label the fields of the memory address below used to access the L2 cache and indicate thesize of each field (in number of bits). Assume that memory is word-addressed.

Tag : _25_bits Index : _0_bits Offset : _3_ bits

Question 11

一个简单的共享内存cache-coherent机有四个处理器,没有\"虚拟到物理\"的翻译和16位(物理)地址。每个处理器有一个L1数据缓存,没有L2高速缓存。每个L1缓存是有四个64字节的块(每个缓存的大小是256字节)的直接変换,他们使用MESI一致性协议来保持一

致的。每个缓存的初始状态(十六进制符号标记所示):

P0 P1 P2 P3

答案:

P0 P1 P2 P3

state tag state tag state tag state tag

I OF S OF S OF S OF

M 01 E 02 M 03 M 04

I OF I OF E OF I OF

M00 E 02 S 04 I 06 Question 13

答案:

A: P0 B0( S,120,00,20)

B: P0 B0 (M,120,00,80) P1 B0 (I,120,00,20)

C: P2 B0 (M,120,00,80) P0 B0 (I,120,00,80) P1 B0 (I,120,00,80)

D: P1 B2 (S,110,00,30) P2 B2 (S,110,00,30) E: P0 B1 (M,108,00,48) P1 B1 (I,108,,0,08) P2 B1(I,108,00,08)

F:P0 B2 (M,130,00,78)

1.处理器中某功能部件占总应用程序执行时间的比例为40%,先将该功能部件改进(加速

10倍),则整个应用程序的加速比为多少?(D)

A. 1.4

B. 2.5

C. 2.18

D. 1.56

2.在计算机系统设计中,比较好的方法是:( D )

A. 从上向下设计

B. 从下向上设计

C. 从两头向中间设计

D. 从中间开始向上、向下设计

3.对系统程序员不透明的是:(D)

A. Cache存储器

B. 系列机各档不同的数据通路宽度

C. 指令缓冲寄存器

D. 虚拟存储器

4.系列机软件应做到:(B)

A. 向前兼容,并向上兼容

B. 向后兼容,力争向上兼容

C. 向前兼容,并向下兼容

D. 向后兼容,力争向下兼容

5.属计算机系统结构考虑的应是(C)。

A.主存采用CMOS还是TTL B.主存采用多体交叉还是单体

C.主存容量和编址方式D.主存频宽的确定

6.最能确保提高虚拟存储器访问主存的命中率的改进途径是( D )。

A.增大辅存容量B.采用FIFO替换算法并增大页面

C.改用LRU替换算法并增大页面D.改用LRU替换算法并增大页面数

7.静态流水线是指(C)。

A.只有一种功能的流水线B.功能不能改变的流水线

C.同时只能完成一种功能的多功能流水线

D.可同时执行多种功能的流水线

8.假设用软件方法在A计算机上实现B计算机的指令系统,则B称为( C )。

A.仿真机 B.宿主机C.虚拟机 D.目标机

9.计算机中优化使用的操作码编码方法是(D)。

A.哈夫曼编码

B.ASCII码

C.BCD码

D.扩展操作码

10.在采用基准测试程序来测试评价机器的性能时,下列方法按照评价准确性递增的顺序排

列是(B)。

(1).实际的应用程序方法

(2).核心程序方法

(3).玩具基准测试程序(小测试程序)

(4).综合基准测试程序

A:(1)(2)(3)(4)

B:(2)(3)(4)(1)

C:(3)(4)(1)(2)

D:(4)(3)(2)(1)

11.10. 在系统结构设计中,提高软件功能实现的比例会( C)。

A、提高解题速度

B、减少需要的存贮容量

C、提高系统的灵活性

D、提高系统的性能价格比

12.CPI是量化计算机性能的一个重要指标,关于CPI说法正确的是(C)

A .CPI由计算机的结构决定

B. CPI由运行在计算机系统上的应用程序决定

C. CPI由计算机的结构和应用程序共同决定

D.CPI由计算机的时钟周期决定

13.以下不属于计算机性能公式的变量是( D )

A. IC

B. 时钟周期

C. CPI

D. MIPS

14.未曾实现的商业计算机结构类型是( C )

A. SISD

B. SIMD

C. MISD

D. MIMD

15.关于近10年CPU从单核转向多核处理器的解释正确的是( D )

A. 指令级并行技术已经发展到极限

B. CPU的工作电压很难再下降

C. 提高时钟频率会带来CPU的散热极限问题

D. 上述理由全部正确

16.图像处理器GPU属于哪种结构( B )

A.SISD

B. SIMD

C. MISD

D. MIMD

17.以下不属于Flynn体系结构类型的是( C )

A. SISD B . SIMD C. SIMT D. MISD

18.CPI是量化计算机性能的一个重要指标,关于CPI说法正确的是(B)

A .CPI由计算机的结构决定

B. CPI由运行在计算机系统上的应用程序决定

C. CPI由计算机的结构和应用程序共同决定

D.CPI由计存储器系统决定

分析:CPI=TC/IC

19.与存储器-存储器结构指令集结构相比, 寄存器-寄存器结构( D ).

A. CPI大

B. 完成同一个算法需要的指令数更少

C. 指令的功能更复杂

D. 固定长度的指令编码方式

20.计算机系统的执行时间的通用公式为:

CPU time = y × 时钟周期× CPI, 此处y是( B)

A.程序执行的周期数

B.被执行的指令总数

C.包括访问存缺失在内的指令平均执行时间

D.每个周期内执行的指令数

21.RISC 与CISC 不同处有( C )

A. RISC指令复杂

B. CISC 指令效率高

C .RISC 指令数量少 D. 以上说法均错误

22.关于MIPS的指令集结构类型说法正确的是( A )

A.寄存器-寄存器

B.寄存器-存储器

C. 存储器-存储器

D. 以上说法均错

23.流水线技术可以( A )

A.提高吞吐率

B.降低吞吐率

C.降低响应时间

D.增加响应时间

24.流水线通过哪种方式提高系统的性能( C)

A. 减少指令的响应时间

B. 消除指令相关

C. 开发指令级的并行

D. 降低CACHE的缺失率

25.恶化流水线的处理器性能的原因是( D )

A .流水线每级处理时间不同

B.连续的指令间的相关

C.流水线的结构相关

D .以上全部正确

26.流水线技术可以( D )

A.提高吞吐率和不改变响应时间

B.提高吞吐率和降低响应时间

C.降低吞吐率和降低响应时间

D.提高吞吐率和增加响应时间

27.在k级单流水线中执行n个任务,所消耗的时钟周期数( A)

A. k+n-1

B. nk+1

C. k

D. 以上说法全部错误

28.关于静态指令调度和指令动态调度说法错误的是(C)

A.编译器相比于硬件有更多的时间处理复杂的调度算法

B.编译器静态调度的前提是假设指令间存在比时间更复杂的冲突

C. 编译器静态调度需要比动态调度更多的关于冲突的精确历史数据

D. 以上说法都错误

29.保留站项在流水线的哪一级释放(A)

A. 写结果

B. 发射

C. 执行

D. 确认

30.使用独立的指令CACHE和数据CACHE的理由是( D )

A . 数据和指令存在在不同的存储器。

B. 每个核的指令不同但数据共享

C. 存储器的方法模式不同

D. 减少指令和数据访存的冲突

31.关于全相联CACHE说法正确的是( C )

A.等价于一个1组1路组相联CACHE

B.等价于一个多组1路组相联CACHE

C.等价于一个1组多路直接映像CACHE

D.等价于一个多组1路组直接映像CACHE

32.关于强制性不命中说法正确的是(A )

A .块第一次被访存所以不在CACHE中.

B. 程序执行过程中由于cache容量有限不能保存所有块

C. 因为采用组相联和直接映像所以发生冲突被替换掉

D.以上说法全错

33.在采用单流水线、顺序执行和顺序提交结果的处理器中,那种情况会导致数据冒险

( C )

A. 写后写

B.读后写

C.写后读

D. 读后读

34.为什么乱序执行的处理器采用顺序方式提交指令执行结果?(D)

A. 确保精确异常

B. 确保多CACHE的一致性

C. 纠正和恢复分支预测错误的现场

D. A和C都正确

35.在块替换策略中,采用替换最近很少使用方法的理由是( A)

A. 充分利用了程序的时间和空间局部性原理

B. 充分利用了程序的局部性原理

C. 充分利用了程序的空间局部性原理

D. 没用充分利用了程序的时间和空间局部性原理

36.在4路组相联缓存中,一个新的块的位置被映像到(C)

A. 任何位置

B. 块地址与组数取余运算的结果选组号,然后固定在组中的固定位置

C. 块地址与组数取余运算的结果选组号,然后存放在组中的任何位置

D. 以上说法都不对

37.关于最近20年内发生的事情说法正确的是(A)

A. 处理器和存储器性能都提高了,但是处理器提高更多。

B. 存储器性能提高但处理器没有。

C. 处理器和存储器性能都提高了,但是存储器提高更多。

D. 处理器性能提高但存储器没有。

38.相比于写直达发,写回法的优势在于?( A)

A. 减少存储器访存次数

B. 减少不命中的开销

C.减少命中时间

D.降低缺失率

39.假设指令的处理必须使用五个功能部件, 这五个部件的执行时间分别为: 10 ns, 8 ns,10

ns,10 ns and 7 ns.如果使用流水线技术,流水线寄存器的时间开销为1 ns ,采用流水线与非流水线的加速比为( A)

A. 4.1

B. 5

C. 4.5

D. 3

40.下列说法错误是:( B )

A.分支预测转移比预测分支不转移困难,因为需要提前指导分支转移目标指令的地址。

B. 预测分支转移和不转移的准确率都为50%。

C. 根据BTB可以在取指令前判断该指令是否为分支指令。

D. 流水线的深度会增加分支预测错误的开销时间。

41.寄存器换名技术可以消除( D)

A.写后写冒险

B.读后写冒险

C.写后读冒险

D.A和C全部正确

42.以下说法错误的是( D)

A.第一级缓存的容量小于第二级缓存的容量

B.第一级缓存的响应时间小于第二级缓存的响应时间

C.第一级缓存的被访问次数小于第二级缓存的访问次数

D.第一级缓存和第二级缓存都采用相同的地址映像方法

43.假设指令的处理必须使用五个功能部件, 这五个部件的执行时间分别为: 10 ns, 8 ns,10

ns,10 ns and 7 ns.如果使用流水线技术,流水线寄存器的时间开销为1 ns ,采用流水线与非流水线的加速比为( A)

A. 4.1

B. 5

C. 4.5

D. 3

44.为什么乱序执行的处理器采用顺序方式提交指令执行结果?( D)

A.确保精确异常

B.确保多CACHE的一致性

C. 纠正和恢复分支预测错误的现场

D. A和C都正确

45.在前瞻执行中,ROB中的项释放处在那一级( C )

A. 译码

B. 发射

C. 执行

D. 确认

46.以下说法正确的是? ( D)

A.直接映像cache 与只有1个组多路组相联CACHE等价

B.直接映像cache 与只有1个组的全相联CACHE等价

C.直接映像cache 与只有1个组1路组相联CACHE等价

D.以上说法都错

47.下列哪种地址映像方式不适合使用位预测技术( D)

A.全相联

B.4路组全相联

C.8路组全相联

D.直接映像

48.在监听协议中,那种情况下主存的信息不是最新的(C)

A. 对共享状态数据进行写操作后的写直达caches

B. 写回caches有数据被标记为独享状态

C. 写回caches有数据被标记为修改状态

D. 写回caches有数据被标记为共享状态

49.下列哪种技术并没用通过采用开发并行度方法提高系统的性能( B )

A. 增加流水线深度提高工作频率

B. 采用小缓存减少访存响应时间

C . 增加功能部件提高吞吐率

D. 采用多核处理器

50.假设有一单级非流水线处理器其机器周期为5ns,如果采用4级流水线实现该处理器,其

机器周期为2ns,后者与前者的加速比是(D)

A. 3

B. 2.5

C. 2

D. 0.5

51.流水线寄存器发射出一条加法指令,同时也没收到气泡和停顿信息停顿信号,此时在指

令队列的尾部存在一条XOR运算指令,则等待发射的是( A )

A add

B xor

C 气泡

D 停顿

52.对于以下代码:

Int sum=0;

for (int j = 0; j <1000; j++)

sum= sum+arr[i]

}

下列那个变量利用了程序的空间局部性原理( ) 和时间局部性原理(B)

A.j

B. sum

C. elements of arr

D.以上都不正确

53.在使用虚拟存储系统中,应用程序所使用的地址是( D)

A.主存空间

B.物理地址

C.地址空间

D.虚拟地址

54.某计算机的Cache-主存层次采用组相联映象方式,块大小为128字节,Cache容量为64

块,按4块分组,主存容量为4096块。那么主存地址共需( A ) 位。

A.19

B.18

C.20

D.以上都不对

55.基本的MIPS整数流水线中,访存地址的计算发生在流水线的第( C )段。

A.1 B.2 C.3 D.4

56.RISC执行程序的速度比CISC要快的原因是( C )。

A.RISC的指令系统中指令条数较少

B.程序在RISC上编译生成的目标程序较短。

C.RISC的指令平均执行周期数较少。

D.RISC只允许load和store指令访存。

57.通过编译器重新安排指令的执行顺序以减少流水的停顿方法,称之为( D )。

A.线性流水线B.非线性流水线

C.动态调度D.静态调度

判断题

1.A Distributed Memory Multiprocessor is also called a SymmetricMultiprocessor (SMP).

分布式内存的多处理器也称为对称多处理器(SMP)。(T)

2.Suppose we expect at least an 80X parallel speedup from 100processors. At most 0.25% of the

execution of the original programmay be sequential (i.e., non-parallelizable).

假设我们希望至少一个从80年80 x并行加速处理器。最多0.25%的原始程序的执行顺序(即。non-parallelizable)。(T)

3. Suppose a conditional branch alternates between taken and nottaken every time it is executed.

A one-bit BHT predictor is likely tohave a misprediction rate of 100% on this branch.

假设一个条件分支之间的交替而不是采取每次执行时。一个一比特的二叔丁基对甲酚预测可能会对这个分支的错误预测率为100%。(T)

4.Suppose a conditional branch is taken the first 1000 times it isexecuted, and not taken the

second 1000 times. A one-bit BHTpredictor is likely to have performance similar to a correlatingbranch predictor on this branch.

假设条件分支被执行第一的1000倍,而不是采取第二个1000次。一比特的二叔丁基对甲酚预测可能是性能类似于相关分支预测在这个分支。(F)

5.In Tomasulo's algorithm with speculation, when a branch ismispredicted, the data written to

memory and the register file as aresult of that branch are rolled back to their previous values. 与投机T omasulo的算法,当一个分支预测错误,数据写入内存和寄存器文件的分支被回滚到之前的值。(T)

6.A Single-Instruction-Multiple-Data (SIMD) model of computation is characterized by

independent threads computing on private memories.

单指令多数据(SIMD)计算模型计算的特点是独立的线程在私人记忆。(T)

7.A return address predictor is likely to mispredict when there is deep recursion.(F)

深度递归的时候,返回地址预测相当于误预测。

https://www.360docs.net/doc/fc10521041.html,puter architecture design needs to provide support to compiler.

计算机体系结构设计需要为编译器提供支持。(T)

9.In the MIPS Pipeling, 'Updating the PC' is completed in EX stage.

在MIPS Pipeling,“更新电脑”是在前阶段完成。(F)

10.For forwarding you need only look at the data available in the MEM stage.

转发你只需要看数据在MEM阶段。(F)

11.In the MIPS pipeline, both reading and writing the register file can be done during half a

clock cycle period. In particular reading is done in the first half while writing is done in the second half.

在MIPS管道,读写寄存器文件可以半个时钟周期期间完成。在上半年完成特定的阅读写作是在下半年完成。(F)

12.In Tomasulo's algorithm with speculation, instructions may complete out of order.

与投机Tomasulo的算法,说明可能完成的订单。(F)

13.The reorder buffer enables precise exceptions and interrupts.

重新排序缓冲区支持精确的异常和中断。(T)

14.Critical word first reduce the cache miss penalty.

关键字首先减少缓存错过点球。(T)

15.The LRU (least-recently used) replacement policy works because programs exhibit temporal

locality.

LRU(最近最少使用)替代政策工作,因为项目展览时间局部性。(T)

16.A distributed shared memory (DSM) multiprocessor usually has non-uniform memory access. 分布式共享内存(DSM)多处理器通常有非一致内存访问。(T)

https://www.360docs.net/doc/fc10521041.html,puter architecture is intend to cover three affects of hardware, organization and ISA.

计算机体系结构是打算覆盖硬件的三个影响,组织和ISA。(T)

https://www.360docs.net/doc/fc10521041.html,pared with memory-memory architecture, register-register architecture has higher CPI.

与memory-memory架构相比,注册登记体系结构具有较高的CPI。(F)

19.For forwarding you need only look at the data available in the WB Stage.

转发你只需要看数据在白平衡阶段。(F)

20.Data hazard rise when an instruction depends on the results of aprevious instruction in a way

that is exposed by the overlapping of instructions in the pipeline.

数据风险上升,当一个指令的结果依赖于前一个指令的方式公开的重叠在管道的指令。(T)

21.Doubling the associativity of a cache without changing its overall capacity or block size will

increase the tag size by one bit.

翻倍的结合性缓存不改变其整体能力或块大小将增加标签的大小。(T)

22.The MIPS CPU has a RISC ISA. ( T )

23.CPI is always a number greater than or equal to 1, because aninstruction can’t be executed in

less than one cycle.

CPI总是大于或等于1,因为一个指令不能被执行在不到一个周期。(F)

24.Splitting the shortest stage of a five-stage pipeline will result in a higher clock rate.

分裂的最短阶段五级管道将导致更高的时钟频率。(F)

25.There are 3 types of pipeline hazards: structure, data, and control hazards.

有3种管道危险:结构、数据和控制危害。(T)

26.In Tomasulo's algorithm with speculation, when a branch is mispredicted , the data written to

memory and the register file as a result of that branch are rolled back to their previous values. 与投机T omasulo的算法,当一个分支预测错误,数据写入内存和寄存器文件的分支被回滚到之前的值。(T)

27.A two-bit predictor performs better than a single bit predictor even if the conditional branch is

executed exactly once.

低廉的预测性能优于单一位预测即使条件分支完全执行一次。(F)

28.A direct-mapped cache of size N has the same miss rate as a 2-way set-associative cache of

size N/2.

direct-mapped缓存大小为N的缺页率具有相同的双向set-associative缓存的大小为N / 2。(T)

29.A CISC architecture has a fixed instruction length.

CISC体系结构有一个固定的指令长度。(F)

30.Splitting the shortest stage of a five-stage pipeline will result in a higher clock rate.

分裂的最短阶段五级管道将导致更高的时钟频率。( F )

31.Data hazards are caused by hardware conflict.

数据危害是由硬件引起的冲突。(F)

32.Merging write Buffer reduce the cache miss penalty.

合并写缓冲减少缓存错过点球。(T)

33.CPI is always a number greater than or equal to 1, because aninstruction can’t be executed in

less than one cycle.

CPI总是大于或等于1,因为一个指令不能被执行在不到一个周期。()

34.Suppose a conditional branch alternates between taken and not taken every time it is executed.

A one-bit BHT predictor is likely to have a misprediction rate of 100% on this branch.

假设一个条件分支之间的交替而不是采取每次执行时。一个一比特的二叔丁基对甲酚预测可能会对这个分支的错误预测率为100%。(T)

35.Register renaming eliminates stalls due to flow (WAR) dependences on registers.

寄存器重命名消除摊位由于流(战争)依赖性寄存器。(T )

36.A dynamic branch predictor is always better than a static one.

一个动态分支预测总是比静态的好。(F)

37.Translation look-aside buffers (TLBs) are caches that hold virtual address to physical address

translations.

转换后援缓冲区(tlb)缓存,虚拟地址到物理地址的翻译。(T)

简答题(红色为A卷试题)

1、RISC机器的设计原则

答:①指令条数少、指令功能简单。确定指令系统时,只选取使用频度很高的指令,在此基础上补充一些最有用的指令(如支持操作系统和高级语言实现的指令);②采用简单而又统一的指令格式,并减少寻址方式,指令字长都为32位或64位;③指令的执行在单周期内完成(采用流水线技术后);④采用load-store结构,即只有load和store指令才能访问存储器,其他指令的操作都是在寄存器之间完成的;⑤大多数指令都采用硬连接逻辑来实现;

⑥强调优化编译器的作用,为高级语言程序生成优化的代码;⑦充分利用流水技术来提高性能。

2、MIPS机器五级流水线(哪五级,每级的功能)

答:包括:取指令(IF),指令译码/读寄存器(ID),执行/有效地址计算(EX),存储器访问/分支完成(MEM),写回(WB)。①取指令(IF):以PC中的值作为地址从存储器中取出一条指令,放入指令寄存器(IR);同时PC值加4,然后放入NPC;②指令译码/读寄存器(ID):对指令进行译码,并以指令中的rs和rt字段作为地址访问通用寄存器组,把读出的操作数分别放入A和B中。同时IR的低16位进行符号扩展,然后存入Imm。③在这一级,ALU对在前一级准备好的操作数进行计算。④存储器访问/分支完成(MEM):所有指令都要在该级进行更新。除了分支指令,其他指令都是做:PC←NPC。在该级处理的指令只有load、store和分支三种指令;⑤写回(WB):把在前面4级中得到的结果写入通用寄存器组。

3、降低Cache命中时间的方法。(任意列出三种,并给出解释)

答:降低Cache的命中时间:①采用容量小、结构简单的Cache:硬件越简单,速度就越快。应使Cache容量足够小,以便可以与处理器做在同一芯片上,避免因片外访问而增加时间开销。还要保持Cache结构的简单性,例如采用直接映像Cache,优点是可以让标识检测和数据传送同时进行,从而有效减少命中时间;②采用虚拟Cache:是指直接用虚拟地址进行访问的Cache,其标识存储器中存放的是虚拟地址,进行地址检测用的也是虚拟地址。虚拟Cache的优点:在命中时不需要地址转换,因而也就省去了地址转换的时间。另外,即使不命中,地址转换和访问Cache也是并行进行的,其速度比物理Cache快很多。③采用踪迹Cache:踪迹Cache中存放的是CPU所执行过的动态指令序列,其中包含了由分支预测展开了的指令。该分支预测是否正确需要在取到该指令时进行确认。能够提高指令Cache的空间利用率,避免因分支成功发生跳转处于该块之后的指令用不到,跳转到的块的位置之前的指令用不到浪费空间。

4、什么是Cache的一致性,怎样维护Cache的一致性。(MSI、MESI、监听式协议)

答:Cache的一致性:如果允许共享数据进入Cache,就可能出现多个处理器的Cache中都有同一存储块的副本的情况,当其中某个处理器对其Cache中的数据进行修改后,就会使得其Cache中的数据与其他Cache中的数据不一致。这就是Cache的一致性。

维护Cache一致性的关键是跟踪记录共享数据块的状态。目前有两类协议,它们采用了不同的技术跟踪共享数据的状态:①目录式协议:物理存储器中数据块的共享状态被保存在一个称为目录的地方。②监听式协议:当物理存储器中的数据块被调入Cache时,其共享状态信息与该数据块一起放在该Cache中。系统中没有集中的状态表。这些Cache通常连在共享存储器的总线上。当某个Cache需要访问存储器时,它会把请求放到总线上广播出去,其他各个Cache控制器通过监听总线(它们一直在监听)来判断它们是否有总线上请求的数据块。如果有,就进行操作。

5、计算机系统的四大量化原则

答:①以经常性事件为重点。在计算机系统设计中,对经常发生的情况,赋予它优先的处理权和资源使用权,以得到更多的总体上的改进;②Amdahl定律。加快某部件所获得的系统性能加速比,受限于该部件的执行时间占总时间的百分比;③CPU性能公式:CPU时间=IC (所执行的指令条数)×CPI(每条指令平均执行周期)×时钟周期时间;④程序的局部性原理:程序执行时所访问的存储器地址不是随机分配的,而是相对地簇集。

6、编译器处理分支指令的三种方法

答:①预测分支失败:沿失败的分支继续处理指令,就好像什么都没发生似的。当确定分支是失败时,说明预测正确,流水线正常流动;当确定分支是失败时,流水线就把在分支指令之后取出的指令转化为空操作,并按分支目标地址重新取指令执行。②预测分支成功:当流水线ID段检测到分支指令后,一旦计算出了分支目标地址,就开始从该目标地址取指令执行。③延迟分支:主要思想是从逻辑上“延长”分支指令的执行时间。把延迟分支看成由原来的分支指令和若干个延迟槽构成。不管分支是否成功,都要按顺序执行延迟槽中的指令。

7.如何减少Cache的开销时间?

①采用两级Cache:当一级Cache不能满足要求时,可以通过在原有Cache和存储器之间增设另一极Cache,构成两级Cache。这样就可以把第一级Cache做的足够小,使其速度CPU 的时钟周期相匹配;同时,通过把第二级Cache做得足够大,使它能捕获更多本来需要到主存去的访问,从而降低实际不命中开销;②请求字处理技术:当CPU所请求的字到达后,不等整个块都调入Cache,就可以把该字发送给CPU并重启CPU继续执行。③非Cache阻塞技术:Cache在不命中时仍允许CPU进行其他的访问(但只能是命中的访问),而不是完全拒绝CPU的访问,而是能处理部分访问,从而减少了实际不命中开销。

8.如何降低Cache缺失率?

①增加Cache块的大小;②增加Cache的容量;③提高相联度

9.MESI:协议是一种采用写无效的监听协议。它要求每个Cache行有两个状态位,用于描述该行当前处于修改态(Modified)、专有态(Exclusive)、共享态(Shared)或者无效态(Invalid)中的那哪种态。从而决定它的读/写操作行为。

10.监听式协议:当物理存储器中的数据块被调入Cache时,其共享状态信息与该数据块一起放在该Cache中。系统中没有集中的状态表。这些Cache通常连在共享存储器的总线上。

当某个Cache需要访问存储器时,它会把请求放到总线上广播出去,其他各个Cache控制器通过监听总线(它们一直在监听)来判断它们是否有总线上请求的数据块。如果有,就进行相应的操作。

11.写直达和和写回法的区别

答:①写直达:它是指在执行“写”操作时,不仅把数据写入Cache中相应的块,而且也写入下一级存储器。这样下一级存储器中的数据就都是最新的;②写回法:这种策略只把数据写入Cache中相应的块,不写入下一级存储器。这样有些数据的最新版本就是在Cache中。这些数据只有在相应的块被替换时,才被写回下一级存储器。

计算机系统结构模拟试题(5)

计算机组成原理模拟试题(2) 一、填空题:04分,每题02分 1、X=-0.1001 [X]原=_____________ [X]补=_____________[-X]补=_____________ Y=0.0101 [Y]原=_____________ [Y]补=_____________ [-Y]补=_____________ [X+Y]补=_____________ 2、对西文输出的字符设备,在计算机的内存储器中存储的是字符数据的每个字符的_____________码,输出(包括显示或打印)的则是每个字符的_____________,设备中的字符发生器的主要功能是解决从字符的_____________码和字符的_____________间的对应关系。 二、单选题:20分,每题02分 3、32 x 32点阵汉字的机内编码需要。 A: 16个字节 B: 32个字节 C: 32×2个字节 D: 32×4个字节 4、某机字长32位,采用原码定点整数表示,符号位为1位,数值位为31位,则可表示的最大正整数为,最小负整数为。 A: B: C: D: 5、在定点二进制运算器中,减法运算一般通过来实现。 A: 原码运算的二进制减法器 B: 补码运算的二进制减法器 C: 补码运算的十进制加法器 D: 补码运算的二进制加法器 6、在浮点数运算中产生溢出的原因是。 A: 运算过程中最高位产生了进位或借位 B: 参加运算的操作数超出了机器的表示范围 C: 运算的结果的阶码超出了机器的表示范围 D: 寄存器的位数太少,不得不舍弃最低有效位 7、无论如何划分计算机的功能部件,控制器部件中至少含有。 A: PC、IP B: PC、IR C: IR、IP D: AR、IP 8、某存储器容量为32K×16位,则 A: 地址线为16根,数据线为32根 B: 地址线为32根,数据线为16根

北邮高级计算机系统结构实验二三四五

实验二指令流水线相关性分析 ·实验目的 通过使用WINDLX模拟器,对程序中的三种相关现象进行观察,并对使用专用通路,增加运算部件等技术对性能的影响进行考察,加深对流水线和RISC处理器的特点的理解。 ·实验原理: 指令流水线中主要有结构相关、数据相关、控制相关。相关影响流水线性能。·实验步骤 一.使用WinDLX模拟器,对做如下分析: (1)观察程序中出现的数据/控制/结构相关。指出程序中出现上述现象的指令组合。 (2)考察增加浮点运算部件对性能的影响。 (3)考察增加forward部件对性能的影响。 (4)观察转移指令在转移成功和转移不成功时候的流水线开销。 ·实验过程 一.使用WinDLX模拟器,对做如下分析: } 浮点加、乘、除部件都设置为1,浮点数运算部件的延时都设置为4,如图1: 图1 初始设置 将和加载至WinDLX中,如图2示。

图2 加载程序 1.观察程序中出现的数据/控制/结构相关;指出程序中出现上述现象的指令组合。 1)数据相关 点击F7,使程序单步执行,当出现R-Stall时停止,运行过程中出现下图3所示,输入整数6。 图3 输入整数6 @ 打开Clock Diagram,可以清楚的看到指令执行的流水线如图4所示。 图4 指令流水线 双击第一次出现R-Stall的指令行,如图5所示。

图5 指令详细信息 对以上出现的情况分析如下: 程序发生了数据相关,R-Stall(R-暂停)表示引起暂停的原因是RAW。 lbu r3,0×0(r2) 要在WB周期写回r3中的数据;而下一条指令 & seqi r5,r3,0×a 要在intEX周期中读取r3中的数据。 上述过程发生了WR冲突,即写读相关。为了避免此类冲突, seq r5,r4,0×a的intEX指令延迟了一个周期进行。 由此,相关指令为: 2)控制相关 由图6可以看出,在第4时钟周期:第一条指令处于MEM段,第二条命令处于intEX段,第三条指令出于aborted状态,第四条命令处于IF段。 图 6 指令流水线 }

计算机系统结构基本习题和答案

计算机系统结构基本习题和答案 填空题 1、从(使用语言的)角度可以将系统看成是按(功能)划分的多个机器级组成的层次结构。 2、计算机系统结构的层次结构由高到低分别为(应用语言机器级,高级语言机器级,汇编语言机器级,操作系统机器级,传统机器语言机器级,微程序机器级)。 3、应用程序语言经(应用程序包)的(翻译)成高级语言程序。 4、高级语言程序经(编译程序)的(翻译)成汇编语言程序。 5、汇编语言程序经(汇编程序)的(翻译)成机器语言程序。 6、在操作系统机器级,一般用机器语言程序(解释)作业控制语句。 7、传统机器语言机器级,是用(微指令程序)来(解释)机器指令。 8、微指令由(硬件)直接执行。 9、在计算机系统结构的层次结构中,机器被定义为(能存储和执行相应语言程序的算法和数据结构)的集合体。 10、目前M0由(硬件)实现,M1用(微程序(固件))实现,M2到M5大多用(软件)实现。以(软件)为主实现的机器成为虚拟机。(虚拟机)不一定全用软件实现,有些操作也可用(固件或硬件)实现。 11、透明指的是(客观存在的事物或属性从某个角度看不到),它带来的好处是(简化某级的设计),带来的不利是(无法控制)。 12、计算机系统结构也称(计算机体系结构),指的是(传统机器级的系统结构)。它是(软件和硬件/固件)的交界面,是机器语言汇编语言程序设计者或编译程序设计者看到的(机器物理系统)的抽象。 13、计算机组成指的是(计算机系统结构的逻辑实现),包括(机器级内的数据流和控制流)的组成逻辑设计等。计算机实现指的是(计算机组成的物理实现),它着眼于(器件)技术和(微组装)技术。 14、确定指令系统中是否要设乘法指令属于(计算机系统结构),乘法指令是用专门的高速乘法器实现还是用加法器实现属于(计算机组成),乘法器和加法-移位器的物理实现属于(计算机实现)。 15、主存容量与编址方式的确定属于(计算机系统结构),主存是否采用多体交叉属于(计算机组成),主存器件的选定属于(计算机实现)。 16、设计何种系列机属于(计算机系统结构),系列机内不同型号计算机的组织属于(计算机组成)。 17、是否采用通道方式输入输出的确定属于(计算机系统结构),通道采用结合型还是独立型属于(计算机组成)。 18、对PDP-11或VAX-11来说,单总线结构属于(计算机系统结构),其机器级的I/O连接和使用方式属于(计算机组成)。 19、由于计算机组成和计算机实现关系密切,有人称它们为(计算机实现),即计算机系统的(逻辑实现)和(物理实现)。 20、计算机系统结构可有(由上而下)、(由下而上)和(由中间开始)三种不同的设计思路。 21、“由中间开始”设计的“中间”是指(层次结构中的软硬交界面),目前多数是在(传统机器级)与(操作系统级)之间。 22、除了分布处理,MPP和机群系统外,并行处理计算机按其基本结构特征可分为(流水线计算机)、(阵列处理机)、(多处理机)、(数据流计算机)四种不同的结构。 23、费林按指令流和数据流的多倍性把计算机系统分类,这里的多倍性指(系统瓶颈部件上

计算机系统结构期末考试试题及其答案

计算机科学系《计算机系统结构》期末考试试卷(A卷) 2、此试卷适用于计算机科学与技术本科专业。 一单选题:(10分,每题1分) 1、."启动I/O"指令是主要的输入输出指令,是属于( B ) A.目态指令 B.管态指令 C.目态、管态都能用的指令 D.编译程序只能用的指令 2、输入输出系统硬件的功能对(B )是透明的 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 3、全相联地址映象是指(A) A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间固定,组内任何虚页可装入任何实页位置 D.组间可任意装入,组内是固定装入 4、( C ) 属于MIMD系统结构 A.各处理单元同时受一个控制单元的管理 B.各处理单元同时受同个控制单元送来的指令 C.松耦合多处理机和多计算机系统 D.阵列处理机 5、多处理机上两个程序段之间若有先写后读的数据相关,则(B ) A.可以并行执行 B.不可能并行 C.任何情况均可交换串行 D.必须并行执行 6、计算机使用的语言是(B) A.专属软件范畴,与计算机体系结构无关 B.分属于计算机系统各个层次 C.属于用以建立一个用户的应用环境 D.属于符号化的机器指令 7、指令执行结果出现异常引起的中断是(C ) A.输入/输出中断 B.机器校验中断 C.程序性中断 D.外部中断 8、块冲突概率最高的Cache地址映象方式是(A ) A.直接 B.组相联 C.段相联 D.全相联 9、组相联映象、LRU替换的Cache存储器,不影响Cache命中率的是(B ) A.增大块的大小 B.增大主存容量 C.增大组的大小 D.增加Cache中的块数 10、流水处理机对全局性相关的处理不.包括(C) A.猜测法 B.提前形成条件码 C.加快短循环程序的执行 D.设置相关专用通路

计算机系统结构模拟试题5

计算机组成原理模拟试题(2)一、填空题:04分,每题02分 =_____________ [X]补=_____________补-X][1、 X=-0.1001 [X]原 =_____________ =_____________ =_____________ [-Y]补Y]原=_____________ []补 Y=0.0101 [Y ]补=_____________ [X+Y对西文输出的字符设备,在计算机的内存储器中存储的是 字符数据的每个字符的、 2,设备中的字符_____________(包括显示或打印)的则是每个字 符的码,输出_____________间的对应关_____________发生器的主要功能是解决从字符的 _____________码和字符的系。分二、单选题:20分,每题02 。3、 32 x 32点阵汉 字的机内编码需要 A: 16个字节 B: 32个字节 2个字节 C: 32×个字节 D: 32×4位,则可表示位,数值位为314、某机字长32位,采 用原码定点整数表示,符号位为1 。,最小负整数为的最大正整数为 A: B: C: D: 来实现。 5、在定点二进制运算器中,减法运算一般通过 A: 原码运算的二进制减法器 B: 补码运算的二进制减法器 C: 补码运算的十进制加法器 D: 补码运算的二进制加法器 。 6、在浮点数运算中产生溢出的原因是运算过程中最高位产生了进位或借位 A: 参加运算的操作数超出了机器的表示范围B: C: 运算的结果的阶码超出了机器的表示范围寄存器的位数太少,不得不舍弃最低有效位 D: 。 7、无论如何划分计算机的功能部件,控制器部件中至少含有 IP A: PC、 IR B: PC、、IP C: IR D: AR、IP 8、某存储器容量为32K×16位,则 A: 地址线为16根,数据线为32根 根16根,数据线为32地址线为B: C: 地址线为15根,数据线为16根 D: 地址线为15根,数据线为32根 9、在统一编址方式下,存储单元和I/O设备是靠指令中的来区分的。

计算机体系结构实验报告二

实验二结构相关 一、实验目得: 通过本实验,加深对结构相关得理解,了解结构相关对CPU性能得影响。 二、实验内容: 1、用WinDLX模拟器运行程序structure_d、s 。 2、通过模拟,找出存在结构相关得指令对以及导致结构相关得部件。 3、记录由结构相关引起得暂停时钟周期数,计算暂停时钟周期数占总执行 周期数得百分比。 4、论述结构相关对CPU性能得影响,讨论解决结构相关得方法。 三、实验程序structure_d、s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; < A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit < this is a ment !! A: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 四、实验过程 打开软件,load structure_d、s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(Rstall 数据相关Stall 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面得数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关得部件:译码部件。

2010年4月自考计算机系统结构试题及答案

全国2010年4月自学考试计算机系统结构试题 课程代码:02325 一、单项选择题(本大题共10小题,每小题1分,共10分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均不得分。 1.在计算机系统结构设计中,提高软件功能实现的比例可( ) A.提高解题速度B.减少需要的存储器容量 C.提高系统的灵活性D.提高系统的性能价格比 2.浮点数表示的尾数的基r m=16,尾数长度p=8,可表示的规格化最大正尾数的值是( ) A.1/256 B.1/2 C.15/16 D.255/256 3.下列数据存储空间为隐含寻址方式的是( ) A.CPU中的通用寄存器B.主存储器 C.I/O接口中的寄存器D.堆栈 4.当计算机系统执行通道程序完成输入输出工作时,执行通道程序的是( ) A.CPU B.通道 C.CPU和通道D.指定的外设 5.下列有关中断的叙述正确的是( ) A.中断响应的次序是由硬件决定的B.中断处理的次序是由硬件决定的 C.中断处理的次序是不可改的D.中断响应的次序是可灵活改变的 6.与虚拟存储器的等效访问速度无关 ..的是( ) A.访存页地址流B.页面替换算法 C.主存的容量D.辅存的容量 7.非线性流水线的特征是( ) A.一次运算中使用流水线中的多个功能段 B.一次运算中多次使用流水线中的某些功能段 C.流水线中某些功能段在各次运算中的作用不同 D.流水线的各功能段在不同的运算中可以有不同的连接 8.属于集中式共享存储器结构的SIMD计算机是( ) A.ILLIAC IV B.BSP C.CM-2 D.MP-1 1

计算机系统结构期末考试题目

第一章: 1.计算机系统结构的定义 答:由程序设计者看到的一个计算机系统的属性,即概念性结构和功能特性。 2.透明性概念 答:在计算机技术中,一种本来是存在的事物或属性,但从某种角度看似乎不存在,称为透明性现象。 3.兼容性向后兼容 兼容性:同一个软件可以不加修改地运行于系统结构相同的各档机器,可获得相同的结果,差别只在于不同的运行时间。 向后兼容:按某个时期投入市场的某种型号机器编制的程序,不加修改就能运行于在它之后投入市场的机器。 4.Amdahl定律 答:系统中某一部件由于采用某种更快的执行方式后整个系统性能的提高与这种执行方式的使用频率或占总执行时间的比例有关。 5.CPI 答:每条指令的平均时钟周期数。 6.MIPS 答:每秒百万条指令数!MIPS=时钟频率/(CPI*10^6) 7.MFLOPS 答:每秒百万次浮点操作次数。MFLOPS=程序中的浮点操作次数/(执行时间*10^6) 8.命中率的概念 答: 9.Flynn分类法是按指令流和数据流的多倍性特征进行计算机系统结构的划分 答:①单指令流单数据流SISD ②单指令流多数据流SIMD ③多指令流单数据流MISD (实际不存在)④多指令流多数据流MIMD 10.计算机系统设计的定量原理(四个) 答:①加快经常性事件的速度②Amdahl定律③CPU性能公式④访问的局部性原理11.CPI和加速比的计算 答:CPI=CPU时钟周期数/IC CPU时间=CPU时钟周期数/频率 CPU时间=CPU时钟周期*时钟周期长 加速比=(采用改进措施后的性能)/(没有采用改进措施前的性能) =(没有采用改进措施前执行某任务的时间)/(采用改进措施后执行某任务的时间) 12.软硬件实现的特点 硬件实现:速度快、成本高;灵活性差、占用内存少 软件实现:速度低、复制费用低;灵活性好、占用内存多 13.系统评价的标准 ①运算速度②存储器系统③其他性能④成本标准

计算机系统结构模拟题

《计算机系统结构》模拟题 一.判断是非题,对的打√,错的打× 1.系列机是指由一个厂家生产的具有相同组成,但具有不同系统结构和实现的一系列不同型号的机器。 ( × ) 2.Cray 1向量处理机中,由于每个向量寄存器的长度为64,当实际需要处理的向量长度大于64时,它就不能够处理了。 ( × ) 3.按照Flynn 分类法,Illiac IV 阵列处理机是MIMD 计算机。 ( × ) 4.多级混洗交换网络是阻塞网络。 ( √ ) 二.填空题 1.多机系统的互连网络的通信模式可分为4种,其中,一对一的通信模式称为____单播_____模式,一对全体的通信模式为_____广播____模式,多对多的通信模式为____会议_____模式。 2.SIMD 计算机和流水线向量处理机都可以执行向量指令,前者采用___资源重复______并行性,后者采用___时间重叠______并行性。 3.系列机软件兼容必须做到___向后_____兼容,力争做到___向上_____兼容。 4.流水线消除瓶颈段的方法有____细分_____和____重复设置瓶颈段(可交换次序)_____2种方法。 5.设通道数据传送过程中,选择一次设备的时间为 s T ,传送一个字节的时间为D T ,则字 节多路通道最大流量等于____ D s T T 1 _____。 6.Illiac IV 8×8阵列中,网络直径为____7_____。 7.对堆栈型替换算法,增大分配给程序的___主存页面______,对第一级存储器的命中率就会单调____上升_____。 8.从网络的任何结点看,若网络拓扑结构都是相同的,则称这样的网络是___对称______网络。 三.单项选择题 1.在计算机系统层次结构中,从下层到上层,各层相对顺序正确的是( B )。 A.汇编语言机器级-操作系统机器级-高级语言机器级 B.微程序机器级-传统机器语言机器级-汇编语言机器级 C.传统机器语言机器级-高级语言机器级-汇编语言机器级 D.汇编语言机器级-应用语言机器级-高级语言机器级 2.Illiac IV 阵列处理机中,PE 之间所用的互连函数是( A )。

计算机系统结构考试题库及答案

计算机系统结构试题及答案 一、选择题(50分,每题2分,正确答案可能不只一个,可单选 或复选) 1.(CPU周期、机器周期)是内存读取一条指令字的最短时间。 2.(多线程、多核)技术体现了计算机并行处理中的空间并行。 3.(冯?诺伊曼、存储程序)体系结构的计算机把程序及其操作数 据一同存储在存储器里。 4.(计算机体系结构)是机器语言程序员所看到的传统机器级所具 有的属性,其实质是确定计算机系统中软硬件的界面。 5.(控制器)的基本任务是按照程序所排的指令序列,从存储器取 出指令操作码到控制器中,对指令操作码译码分析,执行指令操作。 6.(流水线)技术体现了计算机并行处理中的时间并行。 7.(数据流)是执行周期中从内存流向运算器的信息流。 8.(指令周期)是取出并执行一条指令的时间。 9.1958年开始出现的第二代计算机,使用(晶体管)作为电子器件。 10.1960年代中期开始出现的第三代计算机,使用(小规模集成电路、 中规模集成电路)作为电子器件。 11.1970年代开始出现的第四代计算机,使用(大规模集成电路、超 大规模集成电路)作为电子器件。 12.Cache存储器在产生替换时,可以采用以下替换算法:(LFU算法、 LRU算法、随机替换)。

13.Cache的功能由(硬件)实现,因而对程序员是透明的。 14.Cache是介于CPU和(主存、内存)之间的小容量存储器,能高 速地向CPU提供指令和数据,从而加快程序的执行速度。 15.Cache由高速的(SRAM)组成。 16.CPU的基本功能包括(程序控制、操作控制、时间控制、数据加 工)。 17.CPU的控制方式通常分为:(同步控制方式、异步控制方式、联合 控制方式)反映了时序信号的定时方式。 18.CPU的联合控制方式的设计思想是:(在功能部件内部采用同步控 制方式、在功能部件之间采用异步控制方式、在硬件实现允许的情况下,尽可能多地采用异步控制方式)。 19.CPU的同步控制方式有时又称为(固定时序控制方式、无应答控 制方式)。 20.CPU的异步控制方式有时又称为(可变时序控制方式、应答控制 方式)。 21.EPROM是指(光擦可编程只读存储器)。 22.MOS半导体存储器中,(DRAM)可大幅度提高集成度,但由于(刷 新)操作,外围电路复杂,速度慢。 23.MOS半导体存储器中,(SRAM)的外围电路简单,速度(快),但 其使用的器件多,集成度不高。 24.RISC的几个要素是(一个有限的简单的指令集、CPU配备大量的 通用寄存器、强调对指令流水线的优化)。

计算机体系结构期末考试知识点与答案

体系结构复习重点.doc 1..诺依蔓计算机的特点 答:·若依曼计算机的主要特点如下: 存储程序方式。指令和数据都是以字的方式存放在同一个存储器中,没有区别,由机器状态来确定从存储器读出的字是指令或数据。 指令串行执行,并由控制器集中加以控制、 单元定长的一维线性空间的存储器 使用低级机器语言,数据以二进制形式表示。 单处理机结构,以运算器作为中心。 其实,他最大的特点就是简单易操作。 2. T(C)=所描述的三个层次(8页) 答:3个层次为控制器、算术逻辑部件、基本逻辑部件 3. 计算机系统结构的分类(5页) 4. 计算机系统中的数据表示(38页) 5. 指令系统设计的原则 答:指令系统的设计原则是,应特别注意如何支持编译系统能高效、简易地将源程序翻译成目标代码。 首先是正交性:又称分离原则或互不相干原则。即指令中各个有不同含义的字段之间,在编码时应互相独立、互不相关。 规整性:对相似的操作数做出相同的规定。 可扩充性:要保留一定余量的操作码空间,为以后的扩展所用。 对称性:为了使编译能更加方便,通常希望操作具有对称性。 6. 流水操作中的相关 答:流水操作过程中会出现一下的3个相关:资源或结构相关、数据相关、和控制相关。 资源相关是指当有多条指令进入流水线后在同一机器周期内争用同一功能部件所发生的冲突。 数据相关:这是由于流水线中的各条指令的重叠操作使得原来对操作数的访问顺序发生了变化,从而导致了数据相关的冲突。 控制相关主要是转移指令引起的,比起数据相关来,他会使流水线丧失更多的性能。 7. 向量机中对向量的各种运算可以采用的加工方式(149页) 答:向量机中对向量的各种运算可以采用不同的加工方式,但比较有效的加工方式

计算机系统结构总复习题

一、单项选择题 1、直接执行微指令的是( ) A.汇编程序B.编译程序 C.硬件D.微指令程序 2、对系统程序员不透明的应当是( )。 A.Cache存贮器B.系列机各档不同的数据通路宽度C.指令缓冲寄存器D.虚拟存贮器 3、对机器语言程序员透明的是( )。 A.中断字B.主存地址寄存器 C.通用寄存器D.条件码 4、计算机系统结构不包括( )。 A.主存速度B.机器工作状态 C.信息保护D.数据 5、从计算机系统结构上讲,机器语言程序员所看到的机器属性是( )。A.计算机软件所要完成的功能B.计算机硬件的全部组成 C.编程要用到的硬件组织D.计算机各部件的硬件实现 6、计算机组成设计不考虑( )。 A.专用部件设置B.功能部件的集成度 C.控制机构的组成D.缓冲技术 7、以下说法中,不正确的是( )。 软硬件功能是等效的,提高硬件功能的比例会: A.提高解题速度B.提高硬件利用率 C.提高硬件成本D.减少所需要的存贮器用量 8、在系统结构设计中,提高软件功能实现的比例会( )。 A.提高解题速度B.减少需要的存贮容量 C.提高系统的灵活性D.提高系统的性能价格比 9、下列说法中不正确的是( )。 A.软件设计费用比软件重复生产费用高 B.硬件功能只需实现一次,而软件功能可能要多次重复实现 C.硬件的生产费用比软件的生产费用高 D.硬件的设计费用比软件的设计费用低 10、在计算机系统设计中,比较好的方法是( )。 A.从上向下设计B.从下向上设计 C.从两头向中间设计D.从中间开始向上、向下设计11、"从中间开始"设计的"中间"目前多数是在( )。 A.传统机器语言级与操作系统机器级之间 B.传统机器语言级与微程序机器级之间 C.微程序机器级与汇编语言机器级之间 D.操作系统机器级与汇编语言机器级之间 12、系列机软件应做到( )。 A.向前兼容,并向上兼容 B.向后兼容,力争向上兼容

系统结构期末考试试题及答案

得分 评分人 填空题: (20分,每题2 分) 单选题:(10分,每题1分) A.任何虚页都可装入主存中任何实页的位置 B. 一个虚页只装进固定的主存实页位置 《计算机系统结构》期末考试试卷(A ) 得分 注:1、共100分,考试时间120分钟。 2、此试卷适用于计算机科学与技术本科专业。 1、."启动I/O"指令是主要的输入输出指令,是属于( A. 目态指令 B.管态指令 C.目态、管态都能用的指令 D.编译程序只能用的指令 2、 输入输出系统硬件的功能对 (B )是透明的 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 3、 全相联地址映象是指(A ) C. 组之间固定,组内任何虚页可装入任何实页位置 D.组间可任意装入,组内是固定装入 4、( C ) 属于MIMD 系统结构 A.各处理单元同时受一个控制单元的管理 B.各处理单元同时受同个控制单元送来的指令 C.松耦合多处理机和多计算机系统 D. 阵列处理机 5、多处理机上两个程序段之间若有先写后读的数据相关,则( B ) A.可以并行执行 B.不可能并行 C.任何情况均可交换串行 D.必须并行执行 6、 计算机使用的语言是(B ) A.专属软件范畴,与计算机体系结构无关 B.分属于计算机系统各个层次 C.属于用以建立一个用户的应用环境 D. 属于符号化的机器指令 7、 指令执行结果出现异常引起的中断是( C ) A.输入/输出中断 B.机器校验中断 C.程序性中断 D.外部中断 &块冲突概率最高的 Cache 地址映象方式是(A ) A.直接 B .组相联 C .段相联 D .全相联 9、 组相联映象、LRU 替换的Cache 存储器,不影响 Cache 命中率的是(B ) A.增大块的大小 B .增大主存容量 C .增大组的大小 D .增加Cache 中的块数 10、 流水处理机对全局性相关的处理不 包括(C ) A.猜测法 B.提前形成条件码 C.加快短循环程序的执行 D.设置相关专用通路

计算机系统结构模拟题

《计算机系统结构》模拟题(补)一.单项选择题 1. SIMD是指()。 A、单指令流单数据流 B、单指令流多数据流 C、多指令流单数据流 D、多指令流多数据流 2. 磁盘外部设备适合于连接到()。 A.字节多路通道B.数组多路通道或选择通道 C.选择通道或字节多路通道D.数组多路通道或字节多路通道 3. 下列()存储设备不需要编址。 A. 通用寄存器 B. 主存储器 C. 输入输出设备 D. 堆栈 4.多处理机的各自独立型操作系统( )。 A.要求管理程序不必是可再入的 B.适合于紧耦合多处理机 C.工作负荷较平衡 D.有较高的可靠性 5.输入输出系统硬件的功能对( )是透明的。 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 6. 实现汇编语言源程序变换成机器语言目标程序是由()。 A.编译程序解释B.编译程序翻译 C.汇编程序解释D.汇编程序翻译 7.全相联地址映象是指( )。 A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间是固定的,而组任何虚页可以装入任何实页位置 D.组间可任意装入,组是固定装入 8.( )属于MIMD系统结构。 A.各处理单元同时受同一个控制单元的管理 B.各处理单元同时接受同一个控制单元送来的指令 C.松耦合多处理机和多计算机 D.阵列处理机

9.设16个处理器编号分别为0,1,2,…,15用Cube3互联函数时,第10号处理机与第( ) 号处理机相联。 A.11 B.8 C.14 D.2 10.若输入流水线的指令既无局部性相关,也不存在全局性相关,则( )。 A.可获得高的吞吐率和效率 B.流水线的效率和吞吐率恶化 C.出现瓶颈 D.可靠性提高 11.流水线的技术指标不包括( )。 A.响应比 B.吞吐率 C.加速比 D.效率 12.指令优化编码方法,就编码的效率来讲,方法最好是()。 A. 固定长度编码 B. 扩展编码法 C. Huffman编码法 D. 以上编码都不是 13.RISC 计算机的指令系统集类型是 ( ) 。 A. 堆栈型 B. 累加器型 C. 寄存器—寄存器型 D. 寄存器 - 存储器型 14.相联存储器的访问方式是( )。 A.先进先出顺序访问B.按地址访问 C.无地址访问D.按容访问 15.存储器读写速率越高,每位的成本也越高,存储容量也小。解决这一问题的主要方法是采用( )。 A.多级存储体系结构B.并行存储器 C. Cache D.缓冲技术 16.计算机系统多级层次中,从下层到上层,各级相对顺序正确的应当是()。 A.汇编语言机器级---操作系统机器级---高级语言机器级 B.微程序机器级---传统机器语言机器级---汇编语言机器级 C.传统机器语言机器级---高级语言机器级---汇编语言机器级 D. 汇编语言机器级---应用语言机器级---高级语言机器级 17.对系统程序员不透明的是()。 A.Cache 存储器 B.系列几各档不同的数据通路宽度 C.指令缓冲寄存器 D.虚拟存储器 18.在计算机系统设计中,比较好的方法是()。

北邮计算机系统结构实验报告-实验一到五-WINDLX模拟器

北京邮电大学 实验报告 课程名称计算机系统结构 计算机学院03班 王陈(11)

目录 实验一WINDLX模拟器安装及使用......................................... 错误!未定义书签。 ·实验准备................................................................................ 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验内容及要求.................................................................... 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验二指令流水线相关性分析 ............................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验三DLX处理器程序设计 .................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 A.向量加法代码及性能分析 ................................................... 错误!未定义书签。 B.双精度浮点加法求和代码及结果分析 .............................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验四代码优化 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结+实习体会........................................................... 错误!未定义书签。实验五循环展开 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 矩阵乘程序代码清单及注释说明........................................... 错误!未定义书签。 相关性分析结果........................................................................... 错误!未定义书签。 增加浮点运算部件对性能的影响........................................... 错误!未定义书签。 增加forward部件对性能的影响 ............................................ 错误!未定义书签。 转移指令在转移成功和转移不成功时候的流水线开销 .. 错误!未定义书签。 ·实验总结+实习体会+课程建议......................................... 错误!未定义书签。

计算机系统结构试题及答案

计算机系统结构复习题 单选及填空: 计算机系统设计的主要方法 1、由上往下的设计(top-down) 2、由下往上的设计(bottom-up) 3、从中间开始(middle-out) Flynn分类法把计算机系统的结构分为以下四类: (1)单指令流单数据流 (2)单指令流多数据流 (3)多指令流单数据流 (4) 多指令流多数据流 堆栈型机器:CPU 中存储操作数的单元是堆栈的机器。 累加器型机器:CPU 中存储操作数的单元是累加器的机器。 通用寄存器型机器:CPU 中存储操作数的单元是通用寄存器的机器。 名词解释: 虚拟机:用软件实现的机器叫做虚拟机,但虚拟机不一定完全由软件实现,有些操作可以由硬件或固件(固件是指具有软件功能的固件)实现。 系列机:由同一厂家生产的具有相同系统结构、但具有不同组成和实现的一系列不同型号的计算机。 兼容机:它是指由不同公司厂家生产的具有相同系统结构的计算机。 流水线技术:将一个重复的时序过程,分解成为若干个子过程,而每一个子过程都可有效地在其专用功能段上与其它子过程同时执行。 单功能流水线:指流水线的各段之间的连接固定不变、只能完成一种固定功能的流水线。 多功能流水线:指各段可以进行不同的连接,以实现不同的功能的流水线。 顺序流水线:流水线输出端任务流出的顺序与输入端任务流入的顺序完全相同。 乱序流水线:流水线输出端任务流出的顺序与输入端任务流入的顺序可以不同,允许后进入流水线的任务先完成。这种流水线又称为无序流水线、错序流水线、异步流水线。 吞吐率:在单位时间流水线所完成的任务数量或输出结果的数量。 指令的动态调度:

是指在保持数据流和异常行为的情况下,通过硬件对指令执行顺序进行重新安排,以提高流水线的利用率且减少停顿现象。是由硬件在程序实际运行时实施的。 指令的静态调度: 是指依靠编译器对代码进行静态调度,以减少相关和冲突。它不是在程序执行的过程中、而是在编译期间进行代码调度和优化的。 超标量: 一种多指令流出技术。它在每个时钟周期流出的指令条数不固定,依代码的具体情况而定,但有个上限。 超流水:在一个时钟周期分时流出多条指令。 多级存储层次: 采用不同的技术实现的存储器,处在离CPU不同距离的层次上,各存储器之间一般满足包容关系,即任何一层存储器中的容都是其下一层(离CPU更远的一层)存储器中容的子集。目标是达到离CPU最近的存储器的速度,最远的存储器的容量。 写直达法: 在执行写操作时,不仅把信息写入Cache中相应的块,而且也写入下一级存储器中相应的块。写回法: 只把信息写入Cache中相应块,该块只有被替换时,才被写回主存。 集中式共享多处理机: 也称为对称式共享存储器多处理SMP。它一般由几十个处理器构成,各处理器共享一个集中式的物理存储器,这个主存相对于各处理器的关系是对称的, 分布式共享多处理机: 它的共享存储器分布在各台处理机中,每台处理机都带有自己的本地存储器,组成一个“处理机-存储器”单元。但是这些分布在各台处理机中的实际存储器又合在一起统一编址,在逻辑上组成一个共享存储器。这些处理机存储器单元通过互连网络连接在一起,每台处理机除了能访问本地存储器外,还能通过互连网络直接访问在其他处理机存储器单元中的“远程存储器”。 多Cache一致性: 多处理机中,当共享数据进入Cache,就可能出现多个处理器的Cache中都有同一存储器块的副本,要保证多个副本数据是一致的。 写作废协议: 在处理器对某个数据项进行写入之前,它拥有对该数据项的唯一的访问权 。 写更新协议: 当一个处理器对某数据项进行写入时,它把该新数据广播给所有其它Cache。这些Cache用该新数据对其中的副本进行更新。 机群:是一种价格低廉、易于构建、可扩放性极强的并行计算机系统。它由多台同构或异构

计算机系统结构期末考试试题及其答案

计算机系统结构期末考试试题及其答案

《计算机系统结构》期末考试试卷A 卷第 2 页 共 24 页 计算机科学系《计算机系统结构》期末考试试卷(A 卷) 2、此试卷适用于计算机科学与技术本科专业。 一 单选题:(10分,每题1分) 1、 ."启动I/O"指令是主要的输入输出指 令,是属于( B ) A.目态指令 B.管态指令 C.目态、管态都能用的指令 D.编译程序只能用的指令 2、 输入输出系统硬件的功能对(B )是透 明的 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 3、 全相联地址映象是指(A ) A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间固定,组内任何虚页可装入任何实页位

置 D.组间可任意装入,组内是固定装入 4、( C ) 属于MIMD系统结构 A.各处理单元同时受一个控制单元的管理 B.各处理单元同时受同个控制单元送来的指令 C.松耦合多处理机和多计算机系统 D.阵列处理机 5、多处理机上两个程序段之间若有先写 后读的数据相关,则(B ) A.可以并行执行 B.不可能并行 C.任何情况均可交换串行 D.必须并行执行 6、计算机使用的语言是(B) A.专属软件范畴,与计算机体系结构无关 B.分属于计算机系统各个层次 C.属于用以建立一个用户的应用环境 D.属于符号化的机器指令 7、指令执行结果出现异常引起的中断是 (C ) A.输入/输出中断 B.机器校验中断 C.程序性中断 D.外部中断 《计算机系统结构》期末考试试卷A卷第 3 页共 24 页

相关文档
最新文档