Modelsim6.5基本仿真步骤

Modelsim6.5基本仿真步骤
Modelsim6.5基本仿真步骤

1、建立文件夹,准备文件

复制以下路径文件到新建的仿真目录下(我的仿真目录是/我的文档/MODELSIM/BASICSIMULATION),

Verilog –/examples/tutorials/verilog/basicSimulation/counter.v and

t counter.v

VHDL –/examples/tutorials/vhdl/basicSimulation/counter.vhd and tcounter.vhd

2、创建work 库

a)打开modelsim 6.5,并选择File > Change Directory,更改到刚才新建的目

录下

b)创建库Select File > New > Library.

c)选择OK

d)创建work后,如下

3、添加编译文件

a)编译counter.v 和tcounter.v

i.选择Compile > Compile,选择后出现如下对话框

ii.先点compile ,编译完成无错后,点击done。

iii.这个时候,library 视图如下:

4、完善设计

a)在命令行输入以下命令

vopt +acc test_counter –o testcounter_opt (全部为小写)

The +acc switch provides visibility into the design for debugging purposes

The -o switch allows you designate the name of the optimized design file

(testcounter_opt). 相当于指定输出文件名

5、加载设计

a)输入下面命令vsim testcounter_opt

b)这样会在sim 窗口中出现这次要仿真的信号。

c)

d)有些时候看不到object 窗口,可输入view objects 命令

6、添加波形查看窗口并仿真成功

a)打开波形查看窗口,输入view wave

b)加载信号到wave 窗口中

i.在sim 窗口中,右键点击test_counter

ii.选择Add > To Wave > All items in region

iii.然后设置仿真时长,点击仿真按键,即可仿真成功。

下面附上两个文件代码,专为懒人。。。。

//

// Copyright 1991-2010 Mentor Graphics Corporation

//

// All Rights Reserved.

//

// THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF

// MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. //

module test_counter;

reg clk, reset;

wire [7:0] count;

counter dut (count, clk, reset);

initial // Clock generator

begin

clk = 0;

forever #10 clk = !clk;

end

initial // Test stimulus

begin

reset = 0;

#5 reset = 1;

#4 reset = 0;

end

initial

$monitor($stime,, reset,, clk,,, count);

endmodule

// Copyright 1991-2010 Mentor Graphics Corporation

//

// All Rights Reserved.

//

// THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF

// MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. //

module counter (count, clk, reset);

output [7:0] count;

input clk, reset;

reg [7:0] count;

parameter tpd_reset_to_count = 3;

parameter tpd_clk_to_count = 2;

function [7:0] increment;

input [7:0] val;

reg [3:0] i;

reg carry;

begin

increment = val;

carry = 1'b1;

/*

* Exit this loop when carry == zero, OR all bits processed

*/

for (i = 4'b0; ((carry == 4'b1) && (i <= 7)); i = i+ 4'b1)

begin

increment[i] = val[i] ^ carry;

carry = val[i] & carry;

end

end

endfunction

always @ (posedge clk or posedge reset)

if (reset)

count = #tpd_reset_to_count 8'h00;

else

count <= #tpd_clk_to_count increment(count);

/*****************************************************************

Use the following block to make the design synthesizable.

always @ (posedge clk or posedge reset)

if (reset)

count = 8'h00;

else

count <= count + 8'h01;

******************************************************************/

Endmodule

上面的是需要testbench 文件的仿真方式,,下面来看不用test bench 文件的仿真方式,

按照如下步骤进行:

1、创建一个文件夹,并将要仿真的文件放进去。

2、打开modelsim,并执行File > Change Directory 切换到刚才建的目录下面。

3、建立work 库。

4、在命令行中输入下面命令对要仿真的文件进行编译verilog文件命令是:

vlog XXXX.V

VHDL 文件是:vcom xxxx.vhd

5、加载优化设计单元

在命令行中输入下面命令vsim –novopt counter

6、输入view wave 打开波形查看界面

7、使用创建仿真向导去创建要用的波形。

8、创建reset,,这里要注意是常量

a)选择Create wave

b)选择constant 并点next

c)输入0,点finish

9、接着编辑上面创建的波形

a)选择波形编辑模式,,,,,

b)选择要编辑的波形

c)选择插入一个波形

d)在弹出的窗口输入插入的脉冲时间数据

e)确定后在reset 上面就会产生一个Reset 波形

f)

10、下面讲怎么样在CLK上延伸一个周期。。。

a)先将时间光标定在300ns

b)在图上

时间轴与clk交叉的上升沿地方,点击鼠标右键,,选择Wave Editor > Stretch

Edge 出现下面对话框。

c)

d)输入数据确定后就会clk波形就会发生改变。。。

11、删除一个边沿的方法。。。

a)选择想删除的那一个边沿。

b)就可以了。

c)如下

12、将上面建的波形保存为.do文件。。。

a)点击一下WAVE 窗口,然后快捷键ctrl+s 保存,输入要保存的名称waveedit.do

保存。

13、重新加载这个设计文件。

a)在主窗口中选择Simulate > End Simulation

b)输入命令vsim -novopt counter

14、打开之前生成的波形文件

a)输入view wave

b)选择File > Load

c)双击waveedit.do文件

15、导出刚才创建的波形

a)第一种是导出格式为HDL 格式的test bench 文件。

i.File > Export > Waveform

ii.选择Verilog Testbench (你用什么就选什么)

iii.如果必要的话输入1000 for End Time

iv.在文件名中输入“export”然后点OK

v.

vi.通过以上步骤,modelsim 就根据波形自动创建了一个名为export.v的test bench 文件。

16、运行仿真。。。

a)添加设计信号

i.在对象窗口,右键点击count 并选择Add > To Wave > Selected

Signals.

ii.这样需要仿真的信号就出现在WAVE 窗口里面。

b)在运行时间里面输入1000ns 或者直接执行命令run 1000 就开始仿真

c)仿真结果如下:

d)

17、使用刚才生成的test bench 文件仿真。

a)在命令行,输入vlog export.v

b)在library 的work 类下,,会出现export 文件。

c)输入vsim -voptargs="+acc" export

d)然后输入命令add wave *

e)Run 1000

f)仿真完成后,退出。

集成运放基本应用之一模拟运算电路

实验十二集成运放基本应用之模拟运算电路 一、实验目的 1、了解并掌握由集成运算放大器组成的比例、加法、减法和积分等基本运算电路的原理与功能。 2、了解运算放大器在实际应用时应考虑的一些问题。 二、实验原理 集成运算放大器是一种具有高电压放大倍数的直接耦合多级放大电路。当外部接入不同的线性或非线性元器件组成输入和负反馈电路时,可以灵活地实现各 种特定的函数关系。在线性应用方面,可组成比例、加法、减法、积分、微分、对数等模拟运算电路。 理想运算放大器特性: 在大多数情况下,将运放视为理想运放,就是将运放的各项技术指标理想化,满足下列条件的运算放大器称为理想运放: 开环电压增益A ud=x 输入阻抗n=x 输出阻抗r o=0 带宽f BW=x 失调与漂移均为零等。 理想运放在线性应用时的两个重要特性: (1)输出电压U O与输入电压之间满足关系式 U o= A ud (U + —U-) 由于A ud=『而U o为有限值,因此,U + —U-即U + "U—,称为虚短” (2)由于「i=x,故流进运放两个输入端的电流可视为零,即I IB = 0,称为虚断”这说明运放对其前级吸取电流极小。 上述两个特性是分析理想运放应用电路的基本原则,可简化运放电路的计算。 基本运算电路 1) 反相比例运算电路 电路如图5—1所示。对于理想运放,该电路的输出电压与输入电压之间的 U。一割 R1

(a)同相比例运算电路 图5-3同相比例运算电路 关系为 为了减小输入级偏置电流引起的运算误差,在同相输入端应接入平衡电阻 R 2 = R I / F F o Ri 100K -CZ) ------------- + 12V I I? 100K -12V 5-2反相加法运算电路 2)反相加法电路 电路如图5 — 2所示,输出电压与输入电压之间的关系为 R 3= R 1/R 2/R F 3)同相比例运算电路 图5— 3(a)是同相比例运算电路,它的输出电压与输入电压之间的关系为 U °=(1 空)U i R 2= R I /R F 当R i —E 时,U o = U i ,即得到如图5 — 3(b)所示的电压跟随器。图中R 2= R F , 用以减小漂移和起保护作用。一般 R F 取10K Q , R F 太小起不到保护作用,太大 则影响跟随性。 Ui ------ + 12V9 + 12V? + 5 -- ° Rs ~ — [>8 + ■ + Ui a -----1—1— —+ (b)电压跟随器 图5-1反相比例运算电路图 JOK Ri Ri 100K 9 IK [RwJ 100K 1ODK. -12V Vfl

运算放大器的电路仿真设计

运算放大器的电路仿真设计 一、电路课程设计目的 错误!深入理解运算放大器电路模型,了解典型运算放大器的功能,并仿真实现它的功能; 错误!掌握理想运算放大器的特点及分析方法(主要运用节点电压法分析); ○3熟悉掌握Multisim软件。 二、实验原理说明 (1)运算放大器是一种体积很小的集成电路元件,它包括输入端和输出端。它的类型包括:反向比例放大器、加法器、积分器、微分器、电 压跟随器、电源变换器等. (2) (3)理想运放的特点:根据理想运放的特点,可以得到两条原则: (a)“虚断”:由于理想运放,故输入端口的电流约为零,可近似视为断路,称为“虚断”。 (b)“虚短”:由于理想运放A,,即两输入端间电压约为零,可近似视为短路,称为“虚短”. 已知下图,求输出电压。

理论分析: 由题意可得:(列节点方程) 011(1)822A U U +-= 0111 ()0422 B U U +-= A B U U = 解得: 三、 电路设计内容与步骤 如上图所示设计仿真电路. 仿真电路图:

V18mV R11Ω R22Ω R32Ω R44Ω U2 DC 10MOhm 0.016 V + - U3 OPAMP_3T_VIRTUAL U1 DC 10MOhm 0.011 V + - 根据电压表的读数,, 与理论结果相同. 但在试验中,要注意把电压调成毫伏级别,否则结果误差会很大, 致结果没有任何意义。如图所示,电压单位为伏时的仿真结 果:V18 V R11Ω R22Ω R32Ω R44Ω U2 DC 10MOhm 6.458 V + - U3 OPAMP_3T_VIRTUAL U1 DC 10MOhm 4.305 V + - ,与理论结果相差甚远。 四、 实验注意事项 1)注意仿真中的运算放大器一般是上正下负,而我们常见的运放是上负下正,在仿真过程中要注意。

AltiumDesigner中的电路仿真

今天看了下Altium Designer的电路仿真功能,发现它还是蛮强大的,按着help里面的文档《TU0106 Defining & running Circuit Simulation 》跑了一下,觉得还行,所以就把这个文档翻译下。。。。。 其中包含了仿真功能的介绍,元件仿真模型的添加与修改,仿真环境的设置,等等。本人对SPICE仿真了解的不多,里面涉及到SPICE的文件如果有什么错误,欢迎提出! 一、电路仿真功能介绍 Altium Designer的混合电路信号仿真工具,在电路原理图设计阶段实现对数模混合信号电路的功能设计仿真,配合简单易用的参数配置窗口,完成基于时序、离散度、信噪比等多种数据的分析。Altium Designer 可以在原理图中提供完善的混合信号电路仿真功能 ,除了对XSPICE 标准的支持之外,还支持对Pspice模型和电路的仿真。 Altium Designer中的电路仿真是真正的混合模式仿真器,可以用于对模拟和数字器件的电路分析。仿真器采用由乔治亚技术研究所(GTRI)开发的增强版事件驱动型XSPICE仿真模型,该模型是基于伯克里SPICE3代码,并于且SPICE3f5完全兼容。 SPICE3f5模拟器件模型:包括电阻、电容、电感、电压/电流源、传输线和开关。五类主要的通用半导体器件模型,如diodes、BJTs、JFETs、MESFETs和MOSFETs。 XSPICE模拟器件模型是针对一些可能会影响到仿真效率的冗长的无需开发局部电路,而设计的复杂的、非线性器件特性模型代码。包括特殊功能函数,诸如增益、磁滞效应、限电压及限电流、s域传输函数精确度等。局部电路模型是指更复杂的器件,如用局部电路语法描述的操作运放、时钟、晶体等。每个局部电路都下在*.ckt文件中,并在模型名称的前面加上大写的X。 数字器件模型是用数字SimCode语言编写的,这是一种由事件驱动型XSPICE模型扩展而来专门用于仿真数字器件的特殊的描述语言,是一种类C语言,实现对数字器件的行为及特征的描述,参数可以包括传输时延、负载特征等信息;行为可以通过真值表、数学函数和条件控制参数等。它来源于标准的XSPICE代码模型。在SimCode中,仿真文件采

集成运放基本应用之一—模拟运算电路

集成运放基本应用之一—模拟运算电路

————————————————————————————————作者:————————————————————————————————日期:

实验十二集成运放基本应用之一——模拟运算电路 一、实验目的 1、了解并掌握由集成运算放大器组成的比例、加法、减法和积分等基本运算电路的原理与功能。 2、了解运算放大器在实际应用时应考虑的一些问题。 二、实验原理 集成运算放大器是一种具有高电压放大倍数的直接耦合多级放大电路。当外部接入不同的线性或非线性元器件组成输入和负反馈电路时,可以灵活地实现各种特定的函数关系。在线性应用方面,可组成比例、加法、减法、积分、微分、对数等模拟运算电路。 理想运算放大器特性: 在大多数情况下,将运放视为理想运放,就是将运放的各项技术指标理想化,满足下列条件的运算放大器称为理想运放: 开环电压增益A ud=∞ 输入阻抗r i=∞ 输出阻抗r o=0 带宽f BW=∞ 失调与漂移均为零等。 理想运放在线性应用时的两个重要特性: (1)输出电压U O与输入电压之间满足关系式 U O=A ud(U+-U-) 由于A ud=∞,而U O为有限值,因此,U+-U-≈0。即U+≈U-,称为“虚短”。

(2)由于r i =∞,故流进运放两个输入端的电流可视为零,即I IB =0,称为“虚断”。这说明运放对其前级吸取电流极小。 上述两个特性是分析理想运放应用电路的基本原则,可简化运放电路的计算。 基本运算电路 1) 反相比例运算电路 电路如图5-1所示。对于理想运放, 该电路的输出电压与输入电压之间的 关系为 为了减小输入级偏置电流引起的运算误差,在同相输入端应接入平衡电阻R 2=R 1 // R F 。 图5-1 反相比例运算电路 图5-2 反相加法运算电路 2) 反相加法电路 电路如图5-2所示,输出电压与输入电压之间的关系为 )U R R U R R ( U i22 F i11F O +-= R 3=R 1 / R 2 // R F 3) 同相比例运算电路 图5-3(a)是同相比例运算电路,它的输出电压与输入电压之间的关系为 i 1 F O )U R R (1U + = R 2=R 1 / R F 当R 1→∞时,U O =U i ,即得到如图5-3(b)所示的电压跟随器。图中R 2=R F , i 1 F O U R R U -=

模拟电子技术课程设计(Multisim仿真).

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

基本运算放大器电路设计

基本运算放大器电路设计

————————————————————————————————作者:————————————————————————————————日期:

武汉理工大学 开放性实验报告 (A类) 项目名称:基本运算放大器电路设计实验室名称:创新实验室 学生姓名:**

创新实验项目报告书 实验名称基本运算放大器电路设计日期2018.1.14 姓名** 专业电子信息工程 一、实验目的(详细指明输入输出) 1、采用LM324集成运放完成反相放大器与加法器设计 2、电源为单5V供电,输入输出阻抗均为50Ω,测试负载为50Ω输出误差 不大于5% 3、输入正弦信号峰峰值V1≤50mV,V2=1V,输出为-10V1+V2. 二、实验原理(详细写出理论计算、理论电路分析过程)(不超过1页) 通过使用LM324来设计反相放大器和加法器,因为每一个芯片内都有4个运放,所以我们就是使用其内部的运放来连接成运算放大器电路。 我们采用两个芯片串联的方式进行芯片的级联。对于反相放大器,输出电压Vo=-Rf/R1*Vi;对于同相加法器,Vo=(Rf/R1*Vi1+Rf/R2*Vi2)。 由于对该运放使用单电源5V供电,故需要对整个电路的共地端进行 2.5V 的直流偏置。为实现2.5V的共地端,在这里采用了电压跟随器的运放模型。2.5V 的分压点用两个相同100k的电阻进行分压,并根据经验选取了一个10uF的极性电容并联在2.5V分压点处,起滤除电源噪声的作用。最终由电压跟随器输出端作为后面电路的共地端。同样为使反相放大器能够放大10倍,有-Rf/R1=-10,即Rf=10R1,可取R1=10kΩ,Rf=100kΩ,则R2=R1//Rf。对于加法器,有R1=R2=Rf,均取为100kΩ,则R=100kΩ。

实验课7 全差分运放的仿真方法

CMOS模拟集成电路 实验报告

实验课7 全差分运放的仿真方法 目标: 1、了解全差分运放的各项指标 2、掌握全差分运放各项指标的仿真方法,对全差分运放的各指标进行仿真,给出各指标的 仿真结果。 本次实验课使用的全差分运放 首先分析此电路图,全差分运算放大器是一种具有差分输入,差分输出结构的运算放大器。其相对于单端输出的放大器具有一些优势:因为当前的工艺尺寸在减少,所以供电的电源电压越来越小,所以在供电电压很小的情况下,单端输出很难理想工作,为了电路有很大的信号摆幅,采用类似上图的全差分运算放大器,其主要由主放大器和共模反馈环路组成。 1、开环增益的仿真 得到的仿真图为

1.开环增益:首先开环增益计算方法是低频工作时(<200Hz) ,运放开环放大倍数;通过仿真图截点可知增益为73.3db。 2.增益带宽积:随着频率的增大,A0会开始下降,A0下降至0dB 时的频率即为GBW,所以截取其对应增益为0的点即可得到其增益带宽积为1.03GB。 3.相位裕度:其计算方法为增益为0的时候对应的VP的纵坐标,如图即为-118,则其相位裕度为-118+180=62,而为保证运放工作的稳定性,当增益下降到0dB 时,相位的移动应小于180 度,一般取余量应大于60度,即相位的移动应小于120 度;所以得到的符合要求。 在做以上仿真的时候,关键步骤 在于设定VCMFB,为了得到大的增益,并且使相位裕度符合要求,一直在不停地改变VCMFB,最初只是0.93,0.94,0.95的变化,后来发现增益还是远远不能满足要求,只有精确到小数点后4为到5位才能得到大增益。 2.CMRR 的仿真 分析此题可得共模抑制比定义为差分增益和共模增益的比值,它反映了一个放大器对于共模信号和共模噪声的抑制能力。因此需要仿真共模增益和差分增益。可以利用两个放大器,一 个连成共模放大,一个连成差模放大,

(完整版)Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

运算放大器的设计与仿真

集成运算放大器放大电路仿真设计 1集成运算放大器放大电路概述 集成电路是一种将“管”和“路”紧密结合的器件,它以半导体单晶硅为芯片,采用专门的制造工艺,把晶体管、场效应管、二极管、电阻和电容等元件及它们之间的连线所组成的完整电路制作在一起,使之具有特定的功能。集成放大电路最初多用于各种模拟信号的运算(如比例、求和、求差、积分、微分……)上,故被称为运算放大电路,简称集成运放。集成运放广泛用于模拟信号的处理和产生电路之中,因其高性价能地价位,在大多数情况下,已经取代了分立元件放大电路。 2 电路原理分析 2.1 电路如图1所示 R1 10kΩV1 500mV U1A TL082CD 3 2 4 8 1 R2 9.1kΩ RF 100kΩ V2 12 V V3 12 V XMM1 1 此电路为反向比例运算电路,这是电压并联负反馈电路。输入电压V1通过电阻R1作用于集成运放的反相输入端,故输出电压V0与V1反相。 图2 仿真结果图 输入输出关系理论输仿真输出值电路功能

其中 1 //2R RF R = 2.2电路如图3所示 R1 10kΩ Ui2 200mV U1A TL082CD 3 2 4 8 1 R24.7kΩ RF 100kΩ V212 V V312 V XMM1 Ui1 100mV R310kΩ 3 此电路为反相求和运算电路,其电路的多个输入信号均作用于集成运放的反相输入端,根据“虚短”和“虚断”的原则,0==p N u u ,节点N 的电流方程为F i i i =+31 所以)1 2 31( 0R Ui R Ui RF U +-= 输入输出关系 理论输出值 仿真输出值 电路功能 )1 2 31( 0R Ui R Ui RF U +-= -3V 2.999V 反相求和放大电路 其中RF R R R //3//12= 2.3电路如图5所示 出值 11 0V R RF V -= -5V -5V 反相比例运算电路

仿真分析步骤

例2:以P214例3.2.1说明仿真过程。 仿真分析步骤(P214例3.2.1) 1、选择菜单:放置(Place)\元件(Component)… 数据库(Database):主数据库(Master Database)组(Group):电源(Sources) 系列(Family):电源(POWER_SOURCES) 元件(Component):直流电压源(DC_POWER),单击OK按钮。 Ctrl+M设置属性后放置(或放置后,双击该元件设置属性): 在参数(value)属性页中V oltage(V)选2V,单击OK(确定)按钮。 同法放置接地:GROUND, 同法放置直流电压源:DC_POWER为4V。 在value属性页中V oltage(RMS)选4V。 同法放置直流电流源:系列(Family):电源(SIGNAL_CURRENT_SOURCES) 元件(Component):DC_CURRENT为3A。 双击该元件,在参数(value)属性页中Current(A)选2V,单击OK(确定)按钮。 同法放置直流电流源:DC_CURRENT为2A。 2、选择菜单:放置(Place)\元件(Component)… 数据库(Database):主数据库(Master Database)组(Group):Basic 系列(Family):RESISTOR 元件(Component):1Ω,单击OK按钮。 Ctrl+M设置属性后放置(或放置后,双击该元件设置属性): 在参数(value)属性页中Resistance选2Ω(Ohm),单击OK(确定)按钮。 按Ctrl+R旋转900。 同法放置其余电阻。 3、选择菜单:放置(Place)\导线(Wire) 连线如图所示,在需要的地方放置节点:放置(Place)\节点(Join)。 4、选择菜单“仿真(Simulate)/分析(Analyses)/ 直流工作点分析(DC Operation Point Analysis)”,弹出图3.2.5 所示分析参数设置对话框,“输出(Output variables)”用于选择所 要分析的结点、电源和电感支路。“电路变量(Variables in circuit)”栏中列出了电路中可以

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

集成运放组成的基本运算电路实验报告

实验报告课程名称:电路与电子技术实验指导老师: 成绩: 实验名称:集成运放组成的基本运算电路实验实验类型:同组学生:一、实验目的和要求(必填)二、实验容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一、实验目的和要求 1.研究集成运放组成的比例、加法和积分等基本运算电路的功能; 2.掌握集成运算放大电路的三种输入方式。 3.了解集成运算放大器在实际应用时应考虑的一些问题; 4.理解在放大电路中引入负反馈的方法和负反馈对放大电路各项性能指标的影响; 5.学会用集成运算放大器实现波形变换 二、实验容和原理 1.实现两个信号的反相加法运算 2.输入正弦波,示波器观察输入和输出波形,毫伏表测量有效值 3.实现单一信号同相比例运算(选做) 4.输入正弦波,示波器观察输入和输出波形,毫伏表测量有效值,测量闭环传输特性:Vo = f (Vs) 5.实现两个信号的减法(差分)运算 6.输入正弦波,示波器观察输入和输出波形,毫伏表测量有效值 7.实现积分运算(选做) 8.设置输出初态电压等于零;输入接固定直流电压,断开K2,进入积分;用示波器观察输出变化(如何设轴,Y轴和触发方式) 9.波形转换—方波转换成三角波 10.设:Tp为方波半个周期时间;τ=R2C 11.在T p<<τ、T p ≈τ、T p>>τ三种情况下加入方波信号,用示波器观察输出和输入波形,记录线性 三、主要仪器设备 1.集成运算电路实验板;通用运算放大器μA741、电阻电容等元器件; 2.MS8200G型数字多用表;XJ4318型双踪示波器;XJ1631数字函数信号发生器;DF2172B型交流电压表; 型可调式直流稳压稳流电源。

Multisim仿真应用手册_92309562

电子电路仿真应用手册 2009年6月 前言 本手册基于Multisim V7仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 更高版本的Multisim仿真环境与之类似。此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim V7教学版使用说明书》或其它帮助文档。 目录 1 Multisim主界面简介 (2) 2仿真电路图的建立 (2) 3常用半导体器件特性曲线的测试方法 (3) 3.1 晶体三极管特性曲线的测试 (3) 3.1.1 IV分析仪测试方法 (3) 3.1.2 直流扫描分析方法 (3) 3.2 结型场效应管特性曲线的测试 (4) 3.2.1 IV分析仪测试方法 (4) 3.2.2 直流扫描分析方法 (4) 3.3 二极管、稳压管伏安特性曲线的测试 (5) 4放大电路静态工作点的测试方法 (5) 4.1 虚拟仪器测试方法 (5) 4.2 静态工作点分析方法 (5) 5放大电路动态参数的测试方法 (6) 5.1 电压放大倍数的测试 (6) 5.1.1瞬态分析测试方法 (6) 5.1.2虚拟仪器测试方法 (6) 5.2 输入电阻的测试 (6) 5.3 输出电阻的测试 (7) 5.4频率响应的测试 (7) 5.4.1交流分析方法 (7) 5.4.2 波特图仪测试方法 (7) 6电压传输特性的测试方法 (8) 7上升时间的测试方法 (9) 8逻辑函数的转换与化简 (10) 8.1 逻辑函数转换为真值表 (10) 8.2 真值表转换为逻辑函数 (10) 9逻辑分析仪的使用方法 (11)

模电实验八集成运放基本应用之一模拟运算电路实验报告

实验八集成运放基本应用之一--模拟运算电路 班级:姓名:学号: 2015.12.30 一、 实验目的 1、研究由集成运算放大电路组成的比例、加法、减法和积分等基本运算电路的功能。 2、了解运算放大电路在实际应用时应考虑的一些问题。 二、 实验仪器及器件 三、 实验原理 1、反相比例运算电路 电路如图8-1所示。 图8-1反相比例运算电路 2、反相加法电路 电路如图8-2所示。 图8-2 反相加法电路 )V R R V R R ( V i22 F i11F O +-= R 3═R 1// R 2// R F 3、同相比例运算电路 电路如图8-3(a)所示。 图8-3(a)同相比例运算电路图8-3(b) 电压跟随器 i 1 F O )V R R 1(V + =R 2═R 1// R F 当R 1→∞时,V O ═V i 即得到如图8-3(b)所示的电压跟随器。

4、差分放大电路(减法电路) 电路如图8-4所示。 图8-4 减法运算电路 5、积分运算电路 电路如图8-5所示。 图8-5 积分运算电路 如果v i(t)是幅值为E的阶跃电压,并设v c(0)═0,则 四、实验内容及实验步骤 实验前要看清运放组件各管脚的位置;切忌正负电源极性接反和输出端短路,否则将会损坏集成块。 1、反相比例运算电路 1)按图8-1连接实验电路,接通±12V电源,输入端对地短路,进行调零和消振。 2)输入f= 100Hz,V i = 0.5V的正弦交流信号,测量相应的V o并用示波器观察v o和v i的相位关系,记入表8-1。 表8-1f= 100Hz,V i = 0.5V V i(V)V o(V)v i和v o波形A V 实测值计算值 0.175 -1.755 10.03 10.00 2、同相比例运算电路 1)按图8-3(a)连接实验电路。实验步骤同内容1,将结果记入表8-2。 2)按图8-3(a)中的R1断开,得图8-3(b)电路重复内容1)。 表8-2f= 100Hz,V i = 0.5V V i(V)V o(V)v i和v o波形A V 实测值计算值

multisim使用手册

Multisim使用手册 Multisim是一种EDA仿真工具,它为用户提供了丰富的元件库和功能齐全的各类虚拟仪器。 A1 Multisim 8 基本界面 启动Windows“开始”菜单“所有程序”中的Electronics Workbench/Multisim 8,打开Multisim 8的基本界面如图A1-1所示。 Multisim 8的基本界面主要由菜单栏、系统工具栏、快捷键栏、元件工具栏、仪表工具栏、连接https://www.360docs.net/doc/fd361634.html,按钮、电路窗口、使用中的元件列表、仿真开关(Simulate)和状态栏等项组成。 图A1-1 Multisim 8的基本界面 A1.1 菜单栏 与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim 8菜单栏包含着11个主菜单,如图A1-2所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Reports(报告菜单)、Options(选项菜单)、Window(窗口菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单。

A1-2 菜单栏 1.File(文件)菜单 主要用于管理所创建的电路文件,如打开、保存和打印等,如图A1-3所示。 图A1-3 File菜单 New:提供一个空白窗口以建立一个新文件。 Open:打开一个已存在的*.ms8、*.ms7、*.msm、*.ewb或*.utsch等格式的文件。 Close:关闭当前工作区内的文件。 Save:将工作区内的文件以*.ms8的格式存盘。 Save As:将工作区内的文件换名存盘,仍为*.ms8格式。 Print..:打印当前工作区内的电路原理图。 Print Preview:打印预览。 Print Options:打印选项,其中包括Printer Setup(打印机设置)、Print Circuit Setup(打印电路设置)、Print Instruments(打印当前工作区内的仪表波形图)。 Recent Circuits:最近几次打开过的文件,可选其中一个打开。 New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能。 2.Edit(编辑)菜单 主要用于在电路绘制过程中,对电路和元件进行各种技术性处理,如图A1-4所示。

Protel98电路仿真的基本步骤

Protel98电路仿真的基本步骤 黄康才 以基本放大器的时域(暂态)分析为例 1、添加仿真元件库 本例添加的仿真元件库路径在:\Client98\Sch\Library\Symbols.lib 2、放置仿真元器件 方法和绘制Sch原理图一样 3、放置电源或信号源 方法1:用菜单Simulate\Source下的命令 执行菜单命令(方法2:用仿真电源工具条中的命令 、10K+12V的电源和View\Toolbars\Simution Sources命令来切换) 。本例用1mV的正弦信号。 4、设置节点命令;1方法、用Place\Net Label 执行菜单命令(、用画线工具条中的Net命令2方法 )。命令来切换 View\Toolbars\Wrings Tools最好,电路如下: 5、启动仿真 本例进行时域(暂态)模拟,所以执行Simulate\Setup Simulator\Transient 命令,即

6、进行仿真设置。在上一步骤中弹出“时域分析对话框”: 其中: Duration(s):指时域分析结果显示的时间长度。一般显示信号三、四个周期的波形比较合适。 Display(s):指相邻显示点的时间间隔。 Start(s):显示起始时间,缺省为0。 Run:单击该按钮,程序开始进行时域分析。 最后得到仿真结果: 如何设置直流仿真激励源 黄康才 引言: Protel98可在原理图的基础上进行模拟。模拟前要在进行模拟的原理图上放置激励源。直流仿真电源用于产生直流电压和电流。包括VSRC(直流电压)仿真电源和ISRC(直流电流)(如图1所示)。

图1 如图3中,模拟激励源工具栏提供了四种电压的直流源,它们分别是+12V、 -12V、+5V和-5V四种,这四种是最常用到的直流激励源。如果你所放置的直流源的幅度与这些不同,可在属性对话框中修改。 例题: 在原理图上放置一个名称为VCC的+5V直流源。 重点: 属性的设置。 过程: 1、新建一个SCH文件。 2、在新建的原理图上放置一个+5V的直流源。 方法1:用菜单Simulate\Source\+5 Volts DC 命令: 图2 方法2:用仿真电源工具条 图3 中的命令(执行菜单命令View\Toolbars\Simution Sources命令来切换) 。单击+5V工具栏上的图标。 ,Designator3、打开“直流源属性”对话框,将其中的设置成VCC

基本运算电路设计实验报告

实验报告 课程名称:电路与模拟电子技术实验 指导老师: 成绩: __________________ 实验名称: 基本运算电路设计 实验类型:______ _同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验目的和要求 1. 掌握集成运放组成的比例、加法和积分等基本运算电路的设计。 2. 掌握基本运算电路的调试方法。 3. 学习集成运算放大器的实际应用。 二、实验内容和原理(仿真和实验结果放在一起) 1、反相加法运算电路: 1212 12121 2 =( ) f o I I f f f o I I I I I u u u R R R R R u u u R R ++=-=-+ 当R1=R2时, 121 () f o I I R u u u R =- +,输出电压与Ui1,Ui2之和成正 比,其比例系数为1f R R ,电阻R ’=R1//R2//Rf 。 2、减法器(差分放大电路) 专业:机械电子工程 姓名:许世飞 学号: 日期: 桌号:

11o I f u u u u R R ----= 由于虚短特性有:2 3 23 321231 1233211 11,() I f f o I I f f o I I f u u u R R R R R R u u u R R R R R R R R R u u u R R R -+== ?+?? =+ - ?+??===-=因此解得:时,有可见,当时,输出电压等于出入电压值差。 3、由积分电路将方波转化为三角波: 电路中电阻R2的接入是为了抑制由IIO 、VIO 所造成的积分漂移,从而稳定运放的输出零点。在t<<τ2(τ2=R2C )的条件下,若vS 为常数,则vO 与t 将近似成线性关系。因此,当vS 为方波信号并满足Tp<<τ2时(Tp 为方波半个周期时间),则vO 将转变为三角波,且方波的周期越小,三角波的线性越好,但三角波的幅度将随之减小。 4 、同相比例计算电压运算特性:

运算放大器基本应用

东南大学电工电子实验中心 实验报告 课程名称:电子电路实验 第一次实验 实验名称:运算放大器的基本应用 院(系):吴健雄学院专业:电类强化 姓名:周晓慧学号:61010212 实验室: 105实验组别: 同组人员:无实验时间:2012年03月23日评定成绩:审阅教师:

实验一运算放大器的基本应用 一、实验目的: 1、熟练掌握反相比例、同相比例、加法、减法、积分、微分等电路的设计方法; 2、熟练掌握运算放大电路的故障检查和排除方法,以及增益、幅频特性、传输特性曲线、 带宽的测量方法; 3、了解运算放大器的主要直流参数(输入失调电压、输入偏置电流、输入失调电流、温度 漂移、共模抑制比,开环差模电压增益、差模输入电阻、输出电阻等)、交流参数(增益带宽积、转换速率等)和极限参数(最大差模输入电压、最大共模输入电压、最大输出电流、最大电源电压等)的基本概念; 4、了解运放调零和相位补偿的基本概念; 5、掌握利用运算放大器设计各种运算功能电路的方法及实验测量技能。 二、预习思考: 1、查阅741运放的数据手册,自拟表格记录相关的直流参数、交流参数和极限参数,解释 参数含义。

2、 设计一个反相比例放大器,要求:|A V |=10,Ri>10K Ω,将设计过程记录在预习报告上; (1) 仿真原理图 (2) 参数选择计算 因为要求|A v |=10,即|V 0/V i |= |-R f /R 1|=10,故取R f =10R 1,.又电阻应尽量大些,故取:R 1=10k Ω,Rk=100 k Ω, R L =10 k Ω (3) 仿真结果 图中红色波形表示输入,另一波形为输出,通过仿真可知|V 0/V i |=9.77≈10,仿真正确。 3、 设计一个电路满足运算关系U O = -2U i1 + 3U i2

模电实验八集成运放基本应用之一 模拟运算电路实验报告

实验八集成运放基本应用之 ---- 模拟运算电路班级:姓名:学号:2015. 12. 30 1、研究由集成运算放人电路组成的比例、加法、减法和积分等基本运算电路的功能。 2、了解运算放人电路在实际应用时应考虑的一些问题。 二、实验仪器及器件 三、实验原理 1、反相比例运算电路 电路如图8—1所示。 图8-1反相比例运算电路 2.反相加法电路 电路如图8-2所示。

图8-2 反相加法电路V o = -(字+ 字《2)R3=R I// R:// R F R] 3、同相比例运算电路 电路如图8-3(a)所示。 图8-3(a)同相比例运算电路图8-3(b) 电压跟随器V o = (1 + 字)V,R尸R I〃R F 肖8时,v°=V,即得到如图8-3(b)所示的电斥跟随器。4、差分放兴电路(减法电路) 电路如图8—4所示。

图8-4 减法运算电路 5、积分运算电路 电路如图8-5所示。 图8-5 枳分运算电路 坯")=一為f Vc(O) 如果v@)是幅值为E的阶跃电斥,并设v c(0>0,则 vM = ~^cf0Edt = ~^c l 实验前要看清运放组件各管脚的位置:切忌止负电源极性接反和输出端短路,否则将会损坏集成块。 1、反相比例运算电路 1) 按图8—1连接实验电路,接通±12V电源,输入端对地短路,进行调冬和消振。

2)输入fMOOHz, Vi=O?5V的d ;号,测量相应的V。并用示波器观察%和可的相h 乩记入表8—1。 1) 按图8-3(a)连接实验电路。实验步骤同内容1,将结果记入表8-2o 2) 按图8-3(a)中的Ri断开,得图8-3(b)电路重复内容1)。 1) 按图8-2连接实验电路。调零利消振。 2) 输入信号釆用直流信号,图8-6所示电路为简易直流信号源,由实验者自行完成。实验时要注意选择合适的直流信号幅度以确保集成运放工作在线性区。用直流电压表测量输入电压V, V辽及输出电压Vo,记入表8—3。 +5V 图8-6简易可调直流信号源

反相运算放大电路的仿真

实验一 反相运算放大电路的仿真 姓名:谢朗 班级:电子信息工程112班 学号:7020911048 成绩: 【实验目的】 (1)熟悉并学会运用Multisim 软件,学会一些基本的仿真器件。 (2)学会运算放大器的工作原理,巩固运算放大器的知识。 【实验器材】 (1)6只1K 电阻、1只10K 电阻、1只7.5K 电阻、1只20K 电阻。 (2)一个运算放大器、一个示波器、信号源 (3)导线、1只1uF 电容 【实验原理】 一、理想运算放大器的基本特性 (1) 开环增益A ud 等于无穷大。 (2) 输入阻抗无穷大。 (3) 输入阻抗等于0. (4) 带宽无穷大。 (5) v p =v n ,即虚短。 (6) i p =i n =0,即虚断。 二、反相比例放大电路 1、基本电路 电路如图所示,输入电压通过R1作用于运放的反相端,R2跨接在运放的输出端和反相端之间,同相端接地,由虚短和虚断的概念可知,通过R3的电流为零,所以反相输入端的电位接近于地电位,故称为虚地。虚地的存在是反相放大电路在闭环工作状态下的重要特征。 2、反相端为虚地点,即v n =0,由虚断的概念可知,通过R1的电流等于通过R2的电流 故有 012i n n v v v v R R --= 所以 R R v v A i u 120 -== 上式表明,该电路的电压增益是电阻R1与R2的比值。负号表明输出电压与输出电压相位相反。 3、输入电阻R i R i =R R v v i v i i i i 11== 三、反相积分电路电路

假设电容器C 初始电压为0,根据虚断和虚短可知: 010111I n I dt dt c c R dt RC v v v i v v -= ==-??? 上式表明,输出电压为输入电压对时间的积分,负号表示它们在相位上是相反的。 四、反相微分电路 设t=0时,电容器的电压为0,当信号电压接入后,有 101I I n d C dt d R RC dt v i v v v i =-= = 从而 0I d RC dt v v =- 上式表明,输出电压正比于输入电压对时间的微商,负号表示它们在相位上是相反的。 【实验内容】 一、反相比例运算电路的仿真 实验仿真电路如图所示,接好电路,测出输入、输出两端的电压波形图,如下图。

基于Multisim的数字时钟的设计及仿真方案说明书

数字时钟具有“秒”、“分”、“时”的十进制数字显示,能够随时校正分钟和小时,当时钟到整点时能够进行整点报时,还能够进行定时设置。其涉及的电路由6部分组成。(1)能产生“秒脉冲”、“分脉冲”和“时脉冲”的脉冲产生和分频电路;(2)对“秒脉冲”、“分脉冲”和“时脉冲”计数的计数电路;(3)时间显示电路;(4)校时电路;(5)报时电路;(6)定时输入电路和时间比较电路。由脉冲发生器产生信号通过分频电路分别产生小时计数、分计数、秒计数。当秒计数满60后,分钟加1;当分满60后,时加1;当时计数器计满24时后,又开始下一个循环技术。同时,可以根据需要随时进行校时。把定时信号和显示信号通过比较电路确定能否产生定时报警信号。显示信号通过整点译码电路产生整点报警信号。 数字时钟设计与开发以及仿真分析: 系统具有“时”、“分”、“秒”的十进制数字显示,因此,应有计数电路分别对“秒脉冲”、“分脉冲”和“时脉冲”计数;同时应有时间显示电路,显示当前时间;还应有脉冲产生和分频电路,产生“秒脉冲”、“分脉冲”和“时脉冲”[5]。 系统具有校时功能,因此,应有校时电路,设定数字时钟的当前值。 系统具有整点报时功能,因此,应有译码电路将整点时间识别出来,同时应有报时电路。 系统具有定时功能,因此,应有定时输入电路和时间比较电路。 综上考虑,可如图2.1所示设计数字时钟的电路原理结构图。

图2.1 数字时钟的电路原理结构图 如图2.1所示,数字时钟电路有3个开关,它们的功能如下。 (1)S1:S1为瞬态开关,手动输入计数脉冲。 (2)S2:校时/定时/校时选择电路输入选择开关,当开关切换到上触点,为定时输入;当开关切换到中间触点,为校时输入;当开关切换到下触点,为校时选择电路输入。 (3)S3:为计时/校时选择开关,当开关切换到右边触点时,数字时钟为计时状态;当开关切换到左边触点时,数字时钟为校时状态。 左边两个计数器(小时计数、分计数)接收手动输入脉冲,为定时功能设定定时时间。 右边3个计数器(小时计数、分计数、秒计数)接收手动输入或计时脉冲,实现校时和计时功能。 比较电路是将设定的定时时间和当前的时间进行比较,当两者时间相同时,产生定时报警信号,驱动报警电路。 整点译码电路识别整点时间,以产生整点报时信号。 脉冲产生和分频电路产生数字时钟所需的秒脉冲、分脉冲和小时脉冲。 时间显示电路显示当前时间和定时时间。 4.2 数字时钟设计 4.2.1 小时计时电路 小时计时电路如图4.1所示。

相关文档
最新文档