哈工大2006-数电

哈工大2006-数电
哈工大2006-数电

数字电路部分(共75分)

八、概念(每小题2分,共10分)

1.试写出三位循环(格雷)码?000 001 011 010 110 111 101 100

2.试说明异步级联分频器的缺点?有延时,工作频率不能太高

3.试写出T触发器到D触发器的转换函数。T=D⊕Qn

4.试说明“三人表决逻辑”中所包含最小项的个数?4

5.试说明单稳态(振荡器)触发器有哪两种电路形式?微分型,积分型

九、简答题(每小题5分,共20分)(可以不写详细设计过程)

1. 试利用集成计数器和8选1数据选

择器设计一个1011010序列发生器。

先设计一个模7计数器,将其输出

Q2Q1Q0接到选择器的控制输入端

2. 试用74138和与非门实现逻辑函数:F(A,B,C)=∑m(3,4,5,7)+∑Ф(0,2,6)。

将ABC作为74138的地址输入,然后将输出端Y3,Y4,Y5,Y7 与非即可,不用考虑任意项

3. 一设计需要检测输入信号A的变化,当A有变化时(由高电平变到低电平、或由低

电平变高电平、或正脉冲、或负脉冲),输出F为高。设A初始状态不定(可能为高,也可能为低),F初始态为低,试设计该电路,直接画出电路,不用写设计过程。

4. 试分析下图由4位加法器74283组成的两个两位二进制数运算电路的功能,说明运

算结果数据中(F3,F2,F1,F0)每一位的含义及k的作用,说明何时输出为补码。

①可实现两个两位二进制数的加减运算

②F3为符号位,F2,F1,F0为和数

③作减法时,k为减数的符号位

④ A-B<0时,输出为补码表示

10 F3 F2F

1F0

第2页

共4页

1011010

十、某进修班开设数字信号处理(5学分)、通信原理(4学分)、个人通信(3学分)和

无线网络技术(2学分)四门课程。若考试通过,可以获得相应的学分;若课程考试没通过,则得0学分。规定至少获得9学分才可结业。试用数据选择器设计该组合逻辑电路,判断进修生能否结业。(13分)

答:①设ABCD四个变量分别代表四门课程

F为输出,为1表示可结业,0不能

④逻辑电路

十一、试分析如图所示同步时序电路,并给出结论(12分)

答:1001序列检测器

第3页

共4页

真值表

③卡诺图

F=AB+BCD+ACD

0/0 1/0

状态转换真值表Q1n+1=x Q1n Q0n + x Q1n Q0n

Q0n+1= Q1n Q0n + x

Z=x Q1n Q0n

十二、某多功能信号发生器原理框图如下图所示,它可以产生4种信号(正弦波,三角

波,阶梯波和梯形波)。每种波形的一个周期用50个(字节)数据表示,依次分别预

先存放在对应EPROM (64×8bit )存储器的前50个单元中。K1、K2为波形输出选择控制端,功能见表1。数模转换器(DAC )的参考电压为2.5V 。设系统输入工作时钟CLK 为10MHz ,DAC 转换率设为4档(由K3,K4控制,见表2),分别为2MHz ,1MHz ,500KHz 和250KHz (即CP )。(共20分)。试完成下列任务: 1.设计波形输出选择译码控制电路 (4分) 2.设计分频控制电路 (6分) 3.设计地址发生器电路 (4分)

4.计算DA 转换器的最小输出电压值?(3分) 5.若采用一片256×8位的EPROM ,试说明应怎样存放这4种波形的数据,波形选择译码控制电路应怎样设计,画出简单示意图。(3分)

答:①用2-4线译码器

②设计同步50进制(0-49)计数器 ③先设计5分频(2MHz ),然后依次二分频 得(1MHz ,500KHz ,250KHz ),经4选1输出 ④9.8mV ≈10 mV

⑤4种波形数据分别存储到256×8位EPROM

的00H ~31,40H ~71,80H ~B1,C0H ~E1H ,地址A7A6直接连K1K2。

表1 表2 第4页 共4页

K2K1 0

1M 250K

附图:集成电路功能表

3-8线译码器逻辑符号

64×8bit EPROM 逻辑符号

4选1数据选择器逻辑符号 8选1数据选择器逻辑符号

256×8bit EPROM 逻辑符号

同步BCD 加法计数器74160

同步4位二进制计数器74161

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

最新哈工大数电期末试题+答案

一、选择与填空(共8分) 1.函数表达式Y =C D C B A + ++,则其对偶式为(不必化简): Y '= 。 2.图1-2为CMOS 工艺数字逻辑电路,写出F 的表达式:F = 。 F o /mV u u 图1-2 图1-3 3.图1-3为4位 (逐次逼近型、双积分型、流水线型)A/D 转换器的转换示意图,转换结果为 。 4.对于一个8位D/A 转换器,若最小输出电压增量为0.01V ,当输入代码为01001101时,输出电压u o = V ,分辨率= 。 5.已知时钟脉冲频率为f cp ,欲得到频率为0.25f cp 的矩形波,哪种电路一定无法实现该功能( ) A .四进制计数器; B .四位二进制计数器; C .单稳态触发器; D .施密特触发器。 6.某EPROM 有8条数据线,10条地址线,其存储容量为 字节。 一、(8分)每空1分 1. ()A B CDC +; 2. X A XB +或X B A F X ; 3. 逐次逼近型,0101; 4. 0.77V , 8 121 -或0.0039; 5. D ; 6. 210 二、回答下列问题(共10分) 1.电路如图2-1所示。V 5CC =V ,R 取值合适,写出F 的表达式(不必化简)。

3210 图2-1 解: 3210F ABS ABS ABS ABS =???————————————————3分 2.卡诺图化简:(),,,(0,1,2,3,5,8)P A B C D m =∑,约束条件为:0ABD BCD ABC ++= 解: AB CD 00 01 1110 00011110 1 1 ΦΦ Φ 01 1 1 001 ΦΦ ——————————2分 P AD BD =+——————————————1分

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

哈工大2011年数电期末试题+答案

哈工大2011 年秋季学期 数字电子技术基础试题(A) 一、(10分)填空和选择填空(每空1分) 1.根据反演规则,若Y=AB C D C +++,则Y=() AB C D C ++?。 2. 图1所示门电路均为TTL门,则电路输出P1=() AB BC AB BC + ;P2=() A C C A C ++。 P2 C P1 图1 3.由TTL门组成的电路如图2所示,已知它们的输入短路电流为 I S= 1.6mA,高电平输入漏电流I R=40μA。试问:当A=B=1时,G1的灌(拉,灌)电流为 3.2mA;A=0时,G1的拉(拉,灌)电流为160μA。 图2 4.3位扭环形计数器的计数长度为 6 。 5.某EPROM有8条数据线,13条地址线,则存储容量为64kbit。 6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z,数据从输入端到达输出端被延迟128 μs。

二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。 BIN /OCT BIN /OCT ( I ) ( II ) B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 图3 解: 114114F m m m m =+= BIN/OCT BIN/OCT ( I )( I I )B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 D A B C 1 F

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

哈工大数字电子技术基础习题册2010-答案6-7章

第6章 触发器 【6-1】已知由与非门构成的基本RS 触发器的直接置“0”端和直接置“1”端的输入波形如图6.1所示,试画出触发器Q 端和Q 端的波形。 R d S d Q Q 图 6.1 解: 基本RS 触发器Q 端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“0”时,Q 端和Q 端都等于“1”。d R 和d S 同时撤消,即同时变为“1”时,Q 端和Q 端的状态不定。见图6.1(b )所示,图中Q 端和Q 端的最右侧的虚线表示状态不定。 R d S d Q Q 不定状态 图6.1(b ) 题6-1答案的波形图 【6-2】触发器电路如图 6.2(a)所示,在图(b)中画出电路的输出端波形,设触发器初态为“0”。 Q d S d Q Q R (a) (b) 图6.2 解: 此题是由或非门构成的RS 触发器,工作原理与由与非门构成的基本RS 触发器一样,只不过此电路对输入触发信号是高电平有效。参照题6-1的求解方法,即可画出输出端的波形,见图6.2(c)。 d S d Q R 不定状态 图6.2(c) 【6-3】试画出图6.3所示的电路,在给定输入时钟作用下的输出波形,设触发器的初态为“0”。 “CP Y Z

CP 图 6.3 解: 见图6.3(b)所示,此电路可获得双相时钟。 Q Q CP Y Z 图6.3(b) 【6-4】分析图6.4所示电路,列出真值表,写出特性方程,说明其逻辑功能。 Q 图6.4 解: 1.真值表(CP =0时,保持;CP =1时,如下表) D n Q n Q n+1 0 0 0 0 1 0 1 0 1 1 1 1 2.特性方程Q n+1=D n 3.该电路为锁存器(时钟型D 触发器)。CP =0时,不接收 D 的数据;CP =1时,把数据锁存,但该电路有空翻。 【6-5】试画出在图6.5所示输入波形的作用下,上升和下降边沿JK 触发器的输出波形。设触发器的初态为“0”。 CP J K

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

哈工大2014年数电期末试题 答案资料

哈尔滨工业大学 2014 学年 秋 季学期 数字电子技术基础 试 题

8. 由TTL门组成的电路如图1-4所示,设逻辑门的输出U OH=3.6V,U OL=0.3V,电压表内阻 为20k /V。当输入ABC = 001,用万用表测出U1= ,U2= ;当输入ABC = 100,测得U1= ,U2= 。 图1-4 二、简答题:(8分) 1. 电路如图2-1(a)所示,设各触发器的初态为“0”。已知电路的输入波形如图2-1(b) 所示,试画出Q1、Q2端的波形。 CP1 2 Q0 CP0 1234567 Q1 Q2 CP1 8 (a) (b) 图2-1 2. 已知某时序逻辑电路的状态转换如图2-2(a)所示,设以Q3为最高位,Q1为最低位。将Q3Q2Q1连接到如图2-2(b)所示的ROM的地址输入端,请在ROM矩阵中实现特定的逻辑电路, 使得电路输出 07 ~ Y Y上获得顺序脉冲(在 07 ~ Y Y上依次产生一个低电平脉冲信号,每个低电平信号占一个时钟周期)。 Q3n Q2n Q1n0 W 1 W 2 W 3 W 4 W 5 W 6 W 7 W 地 址 译 码 器 Q3 时 序 逻 辑 电 路 CP Q2 Q1 BIN/OCT Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 B1 E3 E2 E1 B2 B0 "1" 74LS138 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 (a) (b) 图2-2

五、用下降沿触发的JK 触发器和门电路实现图5(a)所示的状态转换图,X 为输入信号,Z 为电路的输出信号。试求:(10分) 1. 说明当X =1时电路的逻辑功能; 2. 请画出该电路的次态卡诺图和输出函数Z 的卡诺图; 3. 写出电路的驱动方程和输出方程,并在图5(b)中画出电路图。 图5(a) 1J 1K C 1Q 1 FF 11J 1K C 1Q 0 FF 0 图5(b)

哈工大数字逻辑与数字电子试题

2003春季学期数字电子期末试题(远程) 教学站 班级 姓名 一、 按要求回答下列问题: 1. 用代数法化简 (1) )()(1C B A C B A C B A P ++?++?++= (2) P 2=AB +C B C A + 2. 对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X+Y=X+Z ,则Y=Z ;( ) (2) 若XY=XZ ,则Y=Z ;( ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;( ) 3. 函数式F=C B A ⊕⊕写成最小项之和的形式,结果应为m ∑( )。 4. 用卡诺图化简: D C A C B A D C D C A ABD ABC F +++++=

5填空: (1) 由TTL 门组成的电路如图1所示,已知它们的输入短路电流为I is =1.6mA ,高电平输入漏电流I iH =40μA 。试问:当A=B=1时,G 1的(拉,灌) 电流为 mA ;A=0时,G 1的(拉,灌) 电流为 mA 。 3 G A B 图1 (2) TTL 门电路输入端悬空时,应视为 ;(高电平,低电平,不定)此时如用万用表测量其电压,读数约为 (3.5V ,0V ,1.4V )。 (3) 集电极开路门(OC 门)在使用时须在 之间接一电阻(输出与地,输出与输入,输出与电源)。 (4)8位D/A 转换器当输入数字量只有最高位为高电平时输出电压为5V ,若只有最低位为高电平,则输出电压为 。 (5)就逐次逼近型和双积分型两种A/D 转换器而言, 抗干扰能力强; 转换速度快。 (6)半导体存储器按功能分有 和 两种。 (7)某EPROM 有8数据线,13位地址线,则其存储容量为 。 6. 由TTL 门组成的电路如图2所示,G 1和G 2为三态门,分别写出R=100Ω和R =100k Ω时输出Y 的表达式。 X G 1 图2

哈工大 数电自主设计实验 数字时钟

实验报告 课程名称:数字电子技术基础 实验题目:设计性实验----数字时钟院系:航天学院 专业: 班级: 姓名: 学号: 哈尔滨工业大学

摘要 数字时钟最主要的功能是计时,显示具体的时间,即显示当前的时和分,它还包含一些附加的功能,时间不准时的较正、复位数字时钟等功能。数字时钟主要是时、分的显示,众所周知,一天有二十四小时,一小时有六十分钟,一分钟有六十秒,因此数字时钟的核心部件就是计数器,主要的是二十四进制和六十进制的计数器。计数器有很多种类,74LS192是一种同步十进制可逆计数器,它具有双时钟输入,并且具有清零和置数等功能,通过它可以设计出不同进制的计时器,可以用来像数字时钟一样显示时、分,通过引脚的不同的功能,可以设计出不同的附加功能,时钟校对、复位以及一些更加复杂的功能。在实验中,用555芯片连接输出为60秒的多谐振荡器用于时钟的分脉冲,用74LS192(十进制计数器)、74LS00(与非门芯片)连接成60和24进制的计数器,再通过数码管显示出来,从而构成了数字时钟。 关键字:数字时钟,数码管,计数,74LS192,555

目录 一.实验目的 (3) 二.总体设计方案或技术路线 (3) 三.实验电路图 (6) 四. 仪器设备名称、型号 (6) 五.理论分析或仿真分析结果 (8) 六.详细实验步骤及实验结果数据记录 (9) 七.实验结论 (9) 八.实验中出现的问题及解决对策 (9) 九.本次实验的收获和体会、对电路实验室的意见或建议 (9) 十.参考文献 (10)

数字时钟 一.实验目的 1、掌握不同进制计数器的设计方法,学会运用集成芯片来达到不同进制计数器的设计; 2、通过附加功能的设置来掌握计数器处于非计时状态的工作情况。 二.总体设计方案或技术路线 1、时钟信号的来源: 为了使时钟显示的时间与生活中的时间周期频率一致,利用了555芯片组成了一个多谐振荡器,可以产生一个周期为60秒的脉冲信号输入给分的个位192芯片的时钟端子。 用555定时器构成的多谐振荡器如下图所示。1R 、2R 和C 是外接定时元件,555定时器的低触发端TL (2脚)和高触发端TH (6脚)连接起来接c u ,放电管的集电极(7脚)接1R 和2R 的连接点,1R 的另一端接电源。 与用555定时器构成的单稳态触发器相比较,由555定时器构成的多谐振荡器是利用电容器的充放电来代替外加触发信号,所以电容电压c u 应该在两个阈值之间按指数规律变化。充电回路是1R 、2R 和C ,放电回路是2R 和C 。 令初始时刻c u =0V ,o u 为高电平,G3门输出低电平,放电管VT 截止,电源 CC V 通过1R 、2R 对电容C 充电,电容电压c u 按指数规律增加。当c u > 2 3 CC V 时,o u 变为低电平,G3们输出高电平,放电管VT 饱和导通,c u 通过2R 和放电管 VT 放电,c u 按指数规律降低。当c u <1 3 CC V 时,o u 变为高电平,G3门输出低电 平,放电管VT 截止,电源CC V 通过1R 、2R 对电容C 充电,c u 按指数规律增加。 当c u > 2 3CC V 时,输出o u 又变为低电平。如此周而复始地电容充电和放电,就产生了振荡,其输入、输出电压波形如下图。

哈工大2013年数电期末试题 答案资料

哈工大 2013 年 秋 季学期 数字电子技术基础试题(A ) Y '= 。 2.图1-2为CMOS 工艺数字逻辑电路,写出F 的表达式:F = 。 F o /mV u u 图1-2 图1-3 3.图1-3为4位 (逐次逼近型、双积分型、流水线型)A/D 转换器的转换示意图,转换结果为 。 4.对于一个8位D/A 转换器,若最小输出电压增量为0.01V ,当输入代码为01001101时,输出电压u o = V ,分辨率= 。 5.已知时钟脉冲频率为f cp ,欲得到频率为0.25f cp 的矩形波,哪种电路一定无法实现该功能( ) A .四进制计数器; B .四位二进制计数器; C .单稳态触发器; D .施密特触发器。 6.某EPROM 有8条数据线,10条地址线,其存储容量为 字节。 一、(8分)每空1分 1. ()A B CDC +; 2. X A XB +或X B A F X ; 3. 逐次逼近型,0101; 4. 0.77V , 8 1 21 -或0.0039; 5. D ; 6. 210

3210 图2-1 解: 3210F ABS ABS ABS ABS =???————————————————3分 2.卡诺图化简:(),,,(0,1,2,3,5,8)P A B C D m =∑,约束条件为:0ABD BCD ABC ++= 解: AB CD 00 01 1110 00011110 1 1 ΦΦ Φ 01 1 1 001 ΦΦ ——————————2分 P AD BD =+——————————————1分

3.在图2-3中,用一片74LS160和一片74LS161,配合必要的逻辑门电路,构成128进制计数器。要求:使用置数方式,且74LS160为低位芯片,74LS161为高位芯片。 Q D 74LS160RCO Q C Q B Q A ET EP D C B A CR LD CP Q D RCO Q C Q B Q A ET EP D C B A CR LD CP 74LS161 图2-3 解: Q D 74LS160RCO Q C Q B Q A ET EP D C B A CR LD CP Q D RCO Q C Q B Q A ET EP D C B A CR LD CP 74LS1611 1 1CP ——4分 三、(10分)一个保险箱有3个按键,当3个键都不按下时,保险箱关闭,不报警;当只有一个按键按下时,保险箱仍关闭,但报警;当有2个按键按下时,保险箱打开,不报警;当三个按键同时按下时,保险箱打开,但要报警。

哈工大数电2002-2004打印

二OO 二 年 数字逻辑电路 试题 一、解答下列各题(共34分) 1. 某采集系统要求模数转换部分精度为0.5%。应选多少位的模数转换器?若用并行A/D ,其中有 多少个比较器?并/串行有多少个比较器?(5分) 2. 两路同频方波信号,其相位差恒为90度,如图所示。试设计一个检测电路判断A 、B 相位情况, 当A 超前B 90度时,如图1-a 所示,输出F 为1;当B 超前A 90度时,如图1-b 所示,输出F 为0。(5分) 3. 某同步时序电路有一个输入和一个输出,当输入序列有奇数个1时输出为1,否则输出为0,试画 出此同步时序电路的状态图。(6分) 4. 改正下图电路,并画出输出F 和V O1的波形。(6分) 5. 试设计一个转换电路,当X=1时,将JK 触发器转换成D 触发器;当X=0时,将JK 触发器转换成 T 触发器,画出逻辑电路图。(6分) A B C F B A B A B 图1-a 图1-b

6. 试用两片74161设计一个模60加法计数器。(6分) 二、试分析下图电路,画状态转换图,并说明其工作原理。其中:Q 2Q 1为状态输出控制某 程控放大器的增益,电路的三个状态(Q 2Q 1=00、01、10)分别对应10、20、40放大倍数,(11)状态为禁止态,X 为控制输入,Y1、Y2为溢出指示。(15分) CP X Y1 Y2

三、用双四选一数据选择器74153设计一个乘法器,用来完成两个两位二进制数的乘法运算(a1a0 ╳ b1b0= P3P2P1P0)。(15分) 74153功能表 四、试用JK触发器和门电路设计一个同步模6格雷码计数器。(20分) 要求:1、写出三位格雷码,即循环码 2、以初始状态为001画出同步模6格雷码计数器状态图 3、电路接通电源时,必须置位到001状态

哈工大数电试卷1

数字电子技术 (A 卷) 一、 填空题:(每空2分,共30分) (1)(11100.011)2 =( 28.6 )10 =( )8421BCD (2) (204.125) 10 =( ) 2 =( )8 =( )16 (3)字母TTL 代表( )。 (4) 三态门的输出状态共有( )三个状态。 (5)将模拟信号转换为数字信号需经过( )四个步骤。 (6)触发器的触发方式可分为电平触发和( )触发。 (7) 逻辑门电路输出端可驱动同类门电路的个数称为( )。 (8) 将))((C B A C B A Y ++++= 化为最小项形式为( )。 (9) ( )(译码器,编码器)的特点是在任一时刻只有一个输入有效。 (10)一位半加器具有( )个输入和两个输出。 (11)已知A/D 转换器的分辨率为8位,其输入模拟电压范围为 0~5V ,则当输入电压为1.96V 时,输出数字量为( )。 (12)利用双稳态触发器存储信息的RAM 称为( )RAM 。 二、(共10分) (1)(4分) 用代数法化简:C B BC C B A BCD A A F ++++= (2)(6分) 用卡诺图化简: 三、 (10分)已知逻辑电路如图1所示,试分析其逻辑功能。 (要求:写出简化逻辑表达式、真值表和逻辑功能。) 图1 四、(12分)如图2,分别用(1)八选一数据选择器;(2) 3-8线译码器74LS138及其逻辑门;实现逻 辑函数: (说明:A 2 为高位) C B A C B A AC F ++= 五、(15分)电路和波形如图3 (a ),(b)所示,设Q 0 ,Q 1 的初态均为0。 (1)写出驱动方程,状态方程和输出方程; (2)画出Q 0 ,Q 1和Y 的波形(直接画在本试卷上)。 图3 (a ) 图3 (b ) 六、(12分)试利用集成计数器74LS161(图4)和必要的逻辑门, (1) 用反馈清零法构成12进制计数器; (2) 用反馈置数法构成8进制计数器,要求计数器的初始状态为“0” ;(上述均要求画出状态转换图。) (说明:74LS161 为同步四位二进制递增计数器,计数脉冲CP 为上升沿触发,且为异步清0,同步置数, 低电平有效。) 图4 七、(5分)分析图5 中所示电路为几进制计数器? & & & & & F A B C D 4 D 5 D 6 D 7 A 0 A 1 A 2 D 0 D 1 D 2 D 3 Y A 2 A 1 A 0 G 1 G 2A G 2 B 74LS138 0Y 7 Y … 图2 1J Q 1K Q 1C >1J Q 1K Q 1 C >CP & Y Q 0 Q 1 A B Q 0 Q 1 A B CP

哈工大数字电路设计加减乘三则计算器

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流!

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。

哈工大数电课程设计设

课程毀计说明崙C论丈丿 课程名称:电子技术课程设升 EEPROM的可编程波形发土器设计題目: 基于 T匕 院系::电乞工程及其自动 1206161 班级: 设计<: 社旭 1120610831 学号: 指导敦师:康扇 2014年12月4日 设升时间:

哈尔滨工业大学 哈尔滨工业大学课程设计任务书姓名:张旭院(系):电气工程及其自动化学院 专业:电气工程班号:1206161 任务起至日期:2014年12月1日至2014年12月14日 课程设计题I」:基于EEPROM的可编程波形发生器 已知技术参数和设计要求: (1)波形发生器能够产生方波、三角波、锯齿波和正弦波4种波形; (2)输出波形周期TTs; (3)4种波形可选择输出。 工作量: (1)完成电路设计、器件选取、电路搭建、电路联调、实验测试等工作; (2)两周内完成电路验收,并提交课程设计报告纸质版、电子版各1份。

水注:此任务书由课程设计指导教师填写。

基于EEPROM的可编程波形发生器 摘要: 在计算机控制、电子测量、通讯与电视等系统中,常常要用到各种模拟连续波形,如锯齿波、三角波、正弦波等。 本系统设计以555定时器构成多谐振荡器,产生脉冲;用FPGA构建256进制计数器和ROM, ROH存有产生波形的数据用于产生方波、三角波、锯齿波和正弦波四种波形;输出波形周期T=ls,输出波形的偏移量在-2. 3V至+1. 7V范围内可调输岀波形的峰峰值在10V左右;四种波形可选择输出,分别输出锯齿波、三角波、方波和正弦波。 本系统使用BASYS2开发板,开发板上提供了按键和数码管,并且按键已经经过电容消抖,并用FPGA构建一个4进制计数器。将上述两部分结合可以构成波形选择电路。LM324 运放电路采用电位器调节,可消除波形失真,并实现波形偏移量可调。 关键词:EEPROM; FPGA; BASYS2;波形发生器1选择原因 1.1 555时钟电路 555定时器是一种用途广泛的模拟数字混合集成电路。它可以构成单稳态触发器、多谐振荡器、压控振荡器等多种应用电路。从555定时器的功能表可以看出儿个特点: 1.有两个阈值电平,分别是电源电压的1/3和2/3: 2.输出从低到高,从高到低有回差; 3.输出端和放电端的状态一致,要通都通,要断都断; 4.输出与两触发端是反相关系。 555定时器构成多谐振荡器 555定时器构成的多谐振荡器如图所示。它是将两个触发端合并在一起,放电端接于两电阻之间。利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是乩、&和C,此时相当输入是低电平,输出是高电平。当电容器充电达到2Vcc/3时,即输入达到高电平时,电路的状态发生翻转, 输岀

哈工大数电实验预考核

Read me: 1.用法,出现在题干里的选项是正确选项,出现在选项下面的选项是错误选项。 2.大部分题看看实验视频就可以得出结果,考前一定看视频别过分依赖这机经。 3.题库不全,有些题只排除部分错误答案,没得出正确答案,因为在那之后我已经通过预考核,没法遇上同样的题,错过了就错过了。 4.祝PRC 65周年生日快乐。 ·实验一组合数字电路基础实验 (开放时间:2014/10/8至2014/10/18)试题2、本次实验芯片的供电电源电压为_A___。 ?A:+5V ?B:+12V ?C:±12V ?D:±5V 试题3、搭接本次组合数字电路实验时,应将芯片插在_D_。 ?A:单级放大电路子板 ?B:集成运算放大电路子板 ?C:面包板 ?D:EEL-69实验平台右侧芯片座 试题1、74LS00芯片的每个与非门为几输入与非门 ?A:1个输入

?B:2个输入 ?C:3个输入 ?D:4个输入 bd 试题2、做本次数字电路实验,在EEL—69实验箱上选哪一路接线柱C A:12V、GND ?B:+5V、-5V ?C:+5V、GND ?D:-5V、GND 试题3、74LS151芯片是: D ?A:与非门 ?B:8选1数据选择器 ?C:4选1数据选择器 ?D:双4选1数据选择器 试题4、 C 1)A:1) ? 2)B:2) ? 3)C:3) ?

试题1、74LS00芯片包含几个与非门 D ? 1个与非门 A :1个与非门 ? 2个与非门 B :2个与非门 ? 3个与非门 C :3个与非门 ? 4个与非门 D :4个与非门 试题5、74LS20芯片包含几个与非门 B ? 1个与非门 A :1个与非门 ? 2个与非门 B :2个与非门 ? 3个与非门 C :3个与非门 ? 4个与非门 D :4个与非门 试题3、C ? 1) A :1) ? 2) B :2) ? 3) C :3) 试题3、组合数字电路的输出采用下面何种设备测试D ? 信号发生器 A :信号发生器 ? 万用表 B :万用表 ? 示波器 C :示波器 ? EEL-69实验平台 D :EEL-69实验平台发光二极管

相关文档
最新文档