病房呼叫系统电子电路设计

病房呼叫系统电子电路设计
病房呼叫系统电子电路设计

电子技术课程设计报告书

课题名称 病床呼叫系统电路设计

姓 名 ***** 学 号 ******* 院、系、部 ********* 专 业 电子信息工程

指导教师

*****

*****年*月 *日

※※※※※※※※※ ※※ ※

※ ※

※ ※

※※※※※※※

****级电子信息工程专业电子技术课

程设计

病房呼叫系统电路设计

1设计目的

(1)熟悉模拟电路的应用与集成电路的引脚排列。

(2)掌握常用芯片的逻辑功能及使用方法。

(3)熟悉电路仿真软件Multisim 11.0使用。

(4)了解优先编码器、555定时器的工作原理,以及芯片的组合。

(5)熟悉病床呼叫系统的设计及其应用。

2设计思路

(1)设计优先编码器的电路。

(2)设计555定时器的电路。

3设计过程

3.1方案论证

图3.1 总体方框图

3.2设计要求

(1)设置开关K1-K5为病房呼叫开关。

(2)用LED指示灯显示病房的呼叫。

(3)当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且扬声器发出警报5秒的呼叫声,LED灯亮5秒。

3.3设计原理

(1)由于病房呼叫拥有优先级的限制,所以呼叫时应区分呼叫优先级,这时就会用到优先编码器对呼叫进行优先级的编码,确保多个病房同时呼叫时,优先级最高的病房首先得到相应。

(2)其次,当病房发出呼叫请求时,为了方便医护人员及时对病人进行救治,

电路应该拥有显示病房号的功能,这就需要用到数字显示器对相应的病房呼叫做出显示输出。

(3)再次,为了提高呼叫响应成功率,电路还应拥有音频提示功能,即当有呼叫请求发出时要发出警报声。

3.4单元模块设计 1.总电路

I n p u t

图3.2 总电路图

其工作原理如下:

接通电源,当病房发出呼叫请求时,病人按下开关,电路中产生一个相应信号并在护士值班室中的数码管上显示出来该病人的床位,同时定时器上的扬声器发出5秒的呼叫声,当多个病人进行同时呼叫时,这时通过74LS147D 优先编码器把优先级别最高的信号输出在数码管上,扬声器发出5秒的呼叫声。

2.74LS147D 优先编码器 (1).由于电路要实现至少五个病房的呼叫系统,所以选择的优先编码器应至少具有4个输出接口,本电路选择74LS147D 优先编码器。此编码器拥有9个输入接口、4个输出接口,能够实现9种不同优先级信号的同时输入。同时,此芯片工作电压较低,功耗较低,应用环境广泛,具有较高的实用价值。 下图为74LS147D 优先编码器引脚图:

U1

74LS147D

A

9

B 7

C 6

D 1431341522121

11

857463910

图3.3 74LS147D 引脚图

对于显示器的显示规律,可以由下表来说明:

表- 174LS147D真值表

注:“1”代表开关断开,“0”代表开关闭合,“X”代表开关状态任意。

将K1~K5(分别由A~E控制)分别与74LS147D的D1~D5输入端连接。D6、D7、D8、D9输入端接高电平“1”。此时A、B、C、D及数字显示器输出情况如上表所示。

(2).74LS147D工作图如下所示:

图3.4 74LS147D工作图

(1)开关K1~K5分别代表五个病房,5V的V CC电源代表高电平,即逻辑“1”。

100Ω的电阻作为保护电阻,用来在开关接通时保护电源,防止电源短路。

(2)对于病房号的显示输出,本电路中选用DCD_HEX数字显示器。此显示器能够显示1—9的9种阿拉伯数字,完全能够满足5个病房号的显示要求。同时由于它又具有4位输入端,与74LS147D的4位输出端完全匹配。不过由

于74LS147D 的输入、输出端均为低电平有效,所以使用时应用相应的逻辑门进行转换。

(3)用一个LED 灯管显示由呼叫信号呼入,当有病人呼叫时LED 灯管会发光。

3.5.555定时器

(1)下图为555定时器引脚图和内部结构图:

U2

LM555CN G N D

1

D I S

7

O U T

3R S T

4V C C 8

T H R

6

C O N

5

T R I

2

图3.5 555定时器电路芯片图

图3.6 555定时器内部结构图

555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如上图所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放

电管T及功率输出级。它提供两个基准电压V CC /3 和2V CC/3,555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器C1的同相输入端的电压为2V CC /3,C2的反相输入端的电压为V CC

/3,则比较器C2的输出为 0,可使/3。若触发输入端 TR 的电压小于V

CC

RS触发器置1,使输出端OUT=1。如果阈值输入端TH的电压大于/3,同时TR端的电压大于V CC /3,则C1的输出为 0,C2 的输出2V C

C

为 1,可将RS 触发器置 0,使输出为 0 电平

(2)555定时器工作图

图3.7 555定时器电路的单稳态电路

该电路工作原理:从TRI输入一个脉冲信号,通过555电路将这个脉冲信号定时5秒,信号从OUT输出,在LED灯上显示。其中延时时间是由R6和C1决定,其时间长短为:t w=1.1R6*C1。由要定时长短,可以根据公式计算出相应的电阻更电容大小。

当病人呼叫时,前面电路会产生一个脉冲信号并输入这个电路,由于555定时器电路的作用,会把该信号定时5秒输出,此时扬声器会响5秒,LED灯亮5秒后熄灭。

(3)下表为555定时器真值表

4.仿真步骤:

1.打开Multisim软件;根据上面的元件和电路连接好各元件。

2.运行该系统;

3开始模拟病人呼叫:

(1)可以先模拟单个病人呼叫,若有病人呼叫则其病床号会显示在数码管上,扬声器报警5秒。

(2)当多个病人同时呼叫时,信号会通过优先编码器进行筛选,将优先级别最高的病人床位信号输出,并显示在数码管上,同时扬声器会发出5秒的警报声。

5.元件:

1个74LS147D优先编码器

1个555定时器(LM555CN)

数码管(DCD-HEX)1个

5个100Ω的定值电阻、1个464K的定值电阻

1个1.0μF的电容器,1个100μF的电容器

4个二输入的非门、3个二输入的或门、1个二输入的与门

2个指示灯

5个单刀单掷开关

1个扬声器

V CC=5V的电压源

接地源

6.总结与体会

此次课程设计经过为期2周的不懈努力,目前基本达到了预期的要求,通过对整个系统的调试,可得到如下结论:

刚开始时本想自己仅有的一点知识来完成,可是在设计中遇到了很多困难,有许多不理解的地方,这才发现靠自己一人的力量是不够的,于是通过查资料,跟同学积极讨论,在讨论过程中不断的完善自己的知识漏洞,同时也不断的学习同学们的长处。在这过程中,我们一起流汗,一起欢笑,特别是看到我们共同设计的电路运行成功时,别提有多高兴了!

我对这个电路设计系统在许多方面都还不熟练,如对一些元器件的功能还不完全了解,不能熟练运用,因而不能完全的一次性设计好该电路。不过通过本次的课程设计我学到了学多的知识,学会了Multisim的一些基本使用方法,培养了我们独立思考问题解决问题的能力,加深了我们对数电、模电知识的理解,巩固了我们的学习知识,有助于我们今后的学习。

总之,在这次的课程设计过程中,我收获了很多,即为我的以后学习设计有很大的帮助,也为将来的人生之路做好了一个很好的铺垫。

通过此次的课程设计,我学到了很多知识,也学到了做任何事情所要有的态

度和心态,首先做学问要一丝不苟,对于发展过程中出现的任何问题和偏差都不要轻视,要通过正确的途径去解决,在做事情的过程中要有耐心和毅力,不要一遇到困难就达退堂鼓,只要坚持下去就可以找到思路去解决问题的。而且要学会与人合作,这样做起事情来就可以事倍功半。

参考文献:

[1]康华光电子技术基础数字部分高等教育出版社 2003年3月

[2]康华光电子技术基础模拟部分高等教育出版社 2003年4月

[3]刘建成电子线路试验教程北京气象出版社 2004 年1月

[4]王彩君/杨睿数字电路实验国防工业出版社 2006年7月

[5]王建新/姜萍电子线路实践教程科学出版社 2003年9月

[6]刘建成电子线路试验教程北京气象出版社 2004 年1月

[7]李银华电子线路设计指导北京航空航天大学出版社2005年6月[9] [7]赵春华张学军等.Multisim9电子技术基础仿真实验. 北京:机械工业出版社2007年

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

基于单片机病房呼叫器(亲测可用)课设

电子信息工程专业课程设计任务书 题目:单片机病房呼叫系统设计 设计内容 设计一个单片机病房呼叫系统,可容64张床位的病房呼叫,每当患者需要呼叫护士时,按下按钮,此时护士值班室内的呼叫系统板上显示该患者的床位号,并振铃3秒。当护士按下“响应”键时,结束当前呼叫。 设计步骤 一、总体方案设计 基于单片机的病房呼叫系统设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 二、硬件选型工作:对所使用的电路元件应选择型号。 三、硬件的设计和实现 1. 选择所用的51单片机; 2. 设计单片机最小系统,在此基础上进行扩展; 3. 设计支持系统工作的外围电路; 四、软件设计 1.编写系统初始化和主程序模块; 2.画出电路流程图; 3.编写子程序; 4.显示程序。 五、编写课程设计说明书,绘制完整的系统电路图(A3幅面)。 课程设计说明书要求 1. 课程设计说明书应书写在学院统一印制的课程设计(论文)说明书上,书写应认真,字迹工整,论文格式参考国家正式出版的书籍和论文编排。 2. 论理正确、逻辑性强、文理通顾、层次分明、表达确切,并提出自己的见解和观点。 3. 打印不少于12页(除附图外)。 4. 每组单独一个题目,每组上限5人。 时间安排 课程设计计划时间4周。课程设计题目于17周下发。课程设计完成后于20周三中午下班前送交电子信息办公室(实验楼三楼),届时指导教师安排短暂答辩。 辅导时间和地点 17~20周每周2、周3和周4。

目录 一、设计要求 (3) 二、设计目的 (4) 三、设计的具体实现 (5) 3.1系统概述 (5) 3.2单元电路设计 (6) 3.2.1 单片机的引脚介绍 (6) 3.2.2 单片机复位电路介绍 (7) 3.2.3 时钟电路的介绍 (8) 3.2.4 详细设计 (10) 3.3软件程序设计 (12) 3.3.1 系统软件的设计 (12) 3.3.2 主程序流程图 (13) 3.3.3子程序流程图 (13) 3.3.4 源程序代码 (16) 四、结论与展望 (21) 五、心得体会及建议 (22) 六、附录 (24) 七、参考文献 (26)

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

病房呼叫系统

燕山大学 EDA课程设计报告书 题目:病房呼叫系统 一、设计题目及要求 1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号,低级别呼叫号用LED指示灯显示; 3.凡有呼叫发出2秒的呼叫声,然后重复播放如下一段音乐,用一个数码管显示乐谱;1155 665- 4433 221- 5544 332- 5544 332- 附音符频率表: 音调频率(Hz) C(高音) 261.63x2 B 493.88 A 440.00 G 392.00 F 349.23 E 329.63 D 293.66 C 261.63 4.设置护士按钮,按下一次,清除当前高优先级呼叫(即表示处理),并终止演奏。 5.有多个呼叫时,先对低优先级的呼叫进行存储,处理完高级别后,数码管显示低级别呼叫号,然后再行处理,依次类推。

二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)整体电路由病人呼叫护士处理模块(nuesecontrol)、优先选择模块(priority)、扫描电路模块、分频模块,以及呼叫2秒模块和自动演奏(automisic)模块。总电路图如下: 1.病人护士处理模块较为简单,首先是一个由D触发器构成的防抖电路,用于消除按键时的抖动。然后再连一个D触发器,用于存储病人的信号;同时护士会有一个控制端,当病人信号处理后,护士通过nurse清零端给信号清零。 2.优先级模块是当有多个病房同时呼叫时,将会优先显示高级别信号。所以用了一个74LS148优先编码器,再经过组合逻辑电路,得到所要的反码可以接在7448数码管编译出来。因为1号病房优先级最高,而编码器是7N端优先级最高,并且是低电平有效。下图则是将1号端出来的高电平信号经过反相器接在6N端,则1号的信号会优先处理,然后经过逻辑电路和数码管,便可显示数字1。 3.扫描模块是因为实验箱虽然有8个数码管,但是只有a`~g7个接口,只能使一个数码管显示,而之后的自动播放音乐需要显示乐谱,还需要用到数码管,所以要做一个扫描电路。由于需要用到2个数码管,可以用74160连一个2进制计数器,然后控制两个74151数据选择器,再接到数码管上(图里是直接做了一个8进制计数器)。C0B0A0和C1B1A1分别接到得到的反码上。然后数码管可以显示病房呼叫号和乐谱。 4.分频模块有很多个,主要是对366Hz分频和对23.438kHz分频,分频模块主要用到了74160计数器,通过给置数端进行置数,得到所需要的进制数,例如对366Hz进行366分频,就可以用设计一个366进制的计数器,得到1Hz的频率,但是经过实际仿真的时候,发现设计成367进制时,频率更接近1Hz。下图给出了一个366分频,其它分频电路类似。 5.呼叫2秒也是一个简单模块,利用之前的74148优先编码器的EON 端,当有病房呼叫时,优先编码器开始工作,EON由0变为1,给了T 触发器一个上升沿信号,Q端变为高电平,控制蜂鸣器发声和由74160构成的二进制计数器工作,2秒后,计数器给出进位信号,使T触发器Q端清零,蜂鸣器停止鸣叫。 6.自动播放音乐模块比较复杂,主要是由3个小模块构成,32位循环顺序脉冲模块,控制乐谱显示模块和分频模块。 自动播放音乐模块如下图: 仿真图: 32位循环顺序脉冲发射器模块的作用是将自动播放的歌的乐谱,共32个音符,一一选择出来。先是由一个16Hz的时钟信号分别接入两个8进制计数器(已经封装成模块),上面的计数器的功能是得到

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

病房呼叫系统的PLC设计-毕业设计

病房呼叫系统的PLC设计 目录 一、概述 (2) 1、可编程控制器简介 (2) 2、可编程控制器的发展 (2) 3、可编程序控制器的基本结构及工作原理 (2) 二、课题背景 (3) 1、课题背景 (3) 2、研究的目的和意义 (3) 三、已知情况、控制要求、设计任务 (4) 1、已知情况 (4) 2、控制要求 (4) 3、设计任务 (4) 四、总体设计思路 (5) 五、程序设计与调试 (5) 1、I/O分配 (5) 2、实现功能图 (8) 3、外部接线图 (8) 4、梯形图 (12) 5指令表 (14) 6、运行及调试 (18) 六、课程设计总结 (19) 七、致谢 (20)

八、参考文献 (20) 摘要 在现代医院的医疗程序管理当中,拥有先进及现代化的病床呼叫中心系统能够更好地去完善社会上各家医院的医疗服务体系,从而提升医院的名誉、地位。在以往的医疗进程管理体系里,当发生紧急事故或者需要呼叫护士的服务时,病人可能会因为继电器机械腐蚀的原因而无法有效及时地向候命的护士发出求助信号,从而导致一些不可挽回的医疗事故。在本次机电综合课程设计中,我对医疗建设中的病床呼叫系统进行了基于可编程控制器的改良系统设计。众所周知,可编程序控制器,也就是PLC,具有响应速度快,控制简单,易于编程等优点。利用这些优点,将PLC控制系统融入病床呼叫控制系统的设计,就能够大大提升护士处理病人需求、处理紧急病情的效率,同时减少医疗事故发生的几率。由于每一张病床的控制流程都是相同的,所以在进行系统程序设计的时候,只需要设计出一个响应模式,其他的病床只要套用这个模式去编写程序了。 关键词:医疗病床呼叫可编程控制器

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

病房呼叫系统

电气工程学院 数字电子电路课程设计报告书 姓名:XX 班级:XXXXXXXXXXXXX 学号:XXXXXXXX 完成日期:XXXXXXXXXXXX

目录 设计要求--------------------------------------------------------------------------3 一、整体设计构想--------------------------------------------------------------3 二、仿真软件简要介绍--------------------------------------------------------3 三、系统分模块设计过程-----------------------------------------------------3 1、开关控制指示灯模块---------------------------------------------------------------3 2、编码器与译码器转换模块----------------------------------------------------------4 3、数码管显示模块-------------------------------------------------------------------7 4、蜂鸣器呼叫模块-------------------------------------------------------------------7 四、系统完成电路图-----------------------------------------------------------8 五、课程设计总结--------------------------------------------------------------9

病床呼叫器

病床呼叫器的PLC控制 1 控制要求 某医院有病房2个房间,每个房间有4张病床,病床编号由房间号和床号组成,分别为011、012、013、014、021、022、023、024,每张病床配有分别为SB011、SB012、SB013、SB014、SB021、SB022、SB023、SB024,在护士站安装蜂鸣器HA 和呼叫指示灯,每个呼叫指示灯对应一个呼叫按钮,其编号HL011、HL012、HL013、HL014、HL021、HL022、HL023、HL024。 (1)当某个病床发出救助信号(按下呼叫信号)后,护士站的蜂鸣器发出短促音,与呼叫信号对应的指示灯闪烁(闪烁频率自定)。 (2)当医护人员听到呼叫后,可按下呼叫响应按钮SB0,蜂鸣器停止工作,呼叫指示灯在20S后停止显示。 (3)如果同时或者在一段时间内有多个呼叫信号,护士站的蜂鸣器仍发出短促音,与这些呼叫信号对应的指示灯均闪烁,医护人员按下响应按钮后,蜂鸣器停止工作,呼叫指示灯在20S后停止显示。 (4)每个病床有床头指示灯,当医护人员按下响应按钮床头指示灯立即停止显示。 (5)在护士站有每个房间的呼叫指示灯,房间内有任何一个病床发出救助信号(按下呼叫信号)后, 此房间的指示灯闪烁(闪烁频率自定)。当医护人员听到呼叫后按下呼叫响应按钮SB0,房间指示灯在20S后停止显示。 (6)每个病床设有呼叫取消按钮,当按下呼叫取消按钮,与此病床对应的护士站的呼叫指示灯、房间指示灯、床头灯、蜂鸣器立即全部停止。 2 PLC选型及硬件配置 S7-300PLC的硬件组态图如图1所示。

图1 硬件组态 3 编程软件地址分配表 I/O地址分配表如表1所示,有17个输入信号,19个输出信号。 表1 I/O地址分配表 输入输出功能符号地址功能符号地址1病房1病床按 钮SB011I 护士站1病房1 病床指示灯 HL011Q 1病房2病床按 钮SB012I 护士站1病房2 病床指示灯 HL012Q 1病房3病床按 钮SB013I 护士站1病房3 病床指示灯 HL013Q 1病房4病床按 钮SB014I 护士站1病房4 病床指示灯 HL014Q 2病房1病床按 钮SB021I 护士站2病房1 病床指示灯 HL021Q 2病房2病床按 钮SB022I 护士站2病房2 病床指示灯 HL022Q 2病房3病床按 钮SB023I 护士站2病房3 病床指示灯 HL023Q 2病房4病床按 钮SB024I 护士站2病房4 病床指示灯 HL024Q

医院病房呼叫器的使用注意事项

病房呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号,被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号音,您可以重新拨叫。 3、呼叫存贮与清除:分机呼叫主机而主机无人处理时,主机将该分机号存贮,最多可存贮9个。存贮的分机上“叫通指示”灯点亮。一览表上对应指示灯闪亮,数码显示窗口及走廊显示屏轮翻显示所存贮的内容。第一位数是存贮顺序,数字越大,存贮的越早;后两位为分机号。欲清除存贮的内容方法有以下几个:第一、在待机状态按一下一览表上对应按钮;第二、按一下分机上的清除按钮;第三、主机可以拨叫分机并与其通话。 4、护理级别设定:在待机状态按一下特护设定键,对应指示灯点亮表示已进入设定状态,再按一下特护设定按键,对应指示灯熄灭,系统进入待机状态,并将您设定的床位永久地保存(停电不丢失)。直到您下次重新设定。如果键入一次后1分钟内无新的键入主机将现有设定保存,并恢复到待机状态。 5、话筒统播:摘起主话机按一下“话筒统播”按键(或是在主话机上键入“77”键),对应指示灯点亮,数码窗口显示“77”即可。主要用于下通知,找人等使用。挂机即恢复待机状态。

病房呼叫系统课程设计实验报告

科技大学 数字电子技术课程设计 题目: 病房呼叫系统 姓名: 汤智 专业: 电子科学与技术 班级: 112 学号: 1886110220 指导教师: 2013年06月15日 科技大学理学院

病房呼叫系统 一课程设计题目与实习目的 课程设计题目:病房呼叫系统 实习目的: 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。 二任务和要求 要求: 1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 三总体方案的选择 病房呼叫系统整体思路为: ●LED指示灯显示病房模块 ●数码管优先显示重病房模块 ●蜂鸣器报警5秒模块 首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通 过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编 码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低 电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极 7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器

病房呼叫系统-课程设计

I 数字电路课程设计题目:病房呼叫系统设计 院系:工学院电气与电子工程系 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 烟台南山学院教务处 二〇一七年六月

病房呼叫系统设计 摘要 随着现在医疗机构的发展,病房呼叫系统成为每个医院必须的设备。本次设计完成的是病房呼叫系统。该设备含有优先级,监控设备放置在医生值班时内,当病床有请求呼叫时指示灯进行提醒,并显示几号床位。而当多个请求同时呼叫时,优先级高的病床会显示,并且蜂鸣器连接的计算机扬声器会发声本设计主要四个模块:呼叫模块、优先选择模块、译码显示模块。其中运用了74HC148来实现优先选择模块的主要功能 关键词病房呼叫系统优先级模块

Ward call system design Abstract With the development of medical institutions, the ward call system becomes a necessary device for every hospital.This design completes the ward call system. The device has a priority, the monitoring device is placed in the doctor's watch, and when the bed has a request call, the light is alerted and a number of beds are displayed. And when multiple requests are called at the same time, a high-priority bed will be displayed, and a computer speaker connected to the buzzer will speak. The main four modules of this design: call module, priority selection module, decoder display module. It USES 74HC148 to implement the main function of the preference module. Keywords Ward call systempriority modules

病房呼叫系统说明书

《单片机原理及外围电路》课程论文《基于51单片机的简易病房呼叫系统》 姓名:* * * 学号:502121210*

班级:通信工程16-1

摘要:本系统是基于51单片机的简易病床呼叫系统。该系统以80C51单片机为核心,辅以矩阵键盘、LED点阵显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统. 关键词:80C51单片机矩阵键盘LED显示呼叫系统 Abstract This system is the patient's request to the doctor on duty or nurse emergency call tool s for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call th e medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to impro ve one of the essential equipment of hospital and ward level of care.Increasingly fierce compe tition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, bu t also long-troubled health system quality of service issues, using the ward call system more c onvenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses 80C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix displa y of a simple circuit and some analog and digital circuits to achieve the transfer of informatio n between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of t he duty room to display the number of beds in this patient, people use to achieve circular displ ay, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hos pital. Keywords:80C51 Single-chip word call system matrix keyboard LED display

病房呼叫系统设计与仿真设计

病房呼叫系统 一)、设计题目:病房呼叫系统 二)、实验目的: 1、用8个开关模拟8个病房的呼叫输入信号,1号优先级最高;1~8优先级依次降低; 2、用一个数码管显示呼叫信号的;没信号时显示0;有多个信号呼叫时,显示优先级最高 的呼叫号(其他呼叫用指示灯显示);用四个数码管显示呼叫等待时间(mm ss)。 3、凡有呼叫发出5秒的提示声;呼叫3分钟未处理输出报警信号。 发挥部分:对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 三)、设计思路: 1、整体设计思路:根据设计要求,我们将设计分为几个模块来设计,分别为:锁存模块、选优模块(对病房选优)、选优模块2(对复位选优)、计时模块、显示模块、蜂鸣模块。 2、整体设计流程: (1)、锁存器:对病房呼叫的信号进行存储并处理信号,需要用一个对所有的呼叫信号进行存储的锁存器。 (2)、数据选择器(选优):对发出呼叫的病房进行优先选择,选择优先级最高的一个病房号,病房号从1到8优先级一次降低。 (3)、数据选择器2(选优2):对时间控制信号进优先选择,这样就使得数码管显示器显示的时间为当前优先级最高的病房所呼叫的时间。 (4)、计时器:病房呼叫系统中要求凡有呼叫发出,呼叫3分种未处理输出报警信号,即要求一个模块对呼叫时间计时,因此设计一个分秒计时器,对呼叫时间计时。实验箱中时钟频率为20MHZ,故计时部分需加入分频,使之为1s。 (5)、显示器:用一个数码管显示呼叫信号的,用四个数码管显示呼叫等待时间(mm ss),设计一七段数码显示器,数码片选为低电平有效,扫描信号定为1KHZ。 二、课程设计过程 一)、模块分配 :锁存模块

相关文档
最新文档