08-09数字电路A--答案

08-09数字电路A--答案
08-09数字电路A--答案

2008—2009学年第二学期

《 数字电子技术 》考试试卷(A 卷)参考答案及评分标准

一、简答题(第1小题3分;2~8小题,每小题5分;共38分) 1、(163.54)o ;(115.6875)D ;(73.B)H

(3分)

2、(,,)()F A B C A B B C BC AC AB AB BC AC =⊕+⊕=++++或 (5分)

3、(,,,)Y A B C D BD BD B D =+=⊕

(5分)

4、当0EN =时,G 4和G 5的输出由A 确定。

若A=0,则G 4输出低电平,T 1导通;G 5输出低电平,T 2截止,Y=1。 若A=1,则G 4输出高电平,T 1截止;G 5输出高电平,T 2导通,Y=0。 (3分) 若1EN =时,则G 4输出高电平,T 1截止;G 5输出低电平,T 2截止,输出Y 为高阻态。(2分) (共5分) 5、16,0;10,6;4,12;8,8

(5分)

6、当W 0W 1W 2W 3=0100时,字线W1被选中,根据ROM 电路可知D 3D 2D 1D 0=1011。 (5分)

7、由图可知,该电路为555定时器接成的多谐振荡器 (2分) 其输出波形图为:

(3分)

(共5分)

8、V o 的推导过程:32103210321044(2222)2481622

x I I I I I I

i d d d d d d d d D ∑=+++=+++= 又42REF REF x V V

I i D R R

∑=

∴= 则4

2REF o x V

v i R D ∑=-=- (3分) 当d 3d 2d 1d 0=0101时,5x D =,则V o=2.5V 。 (2分)

(共5分)

v

解:列出真值表为:(3分)

由真值表写出Y 的逻辑表达式为: Y ABC ABC ABC ABC A C AB A C AB A A C AB =+++=+=+=?? (4分) Y 的逻辑电路图为:

(3分)

(共10分)

三、分析题(10分)

解:对于左选择器,D 3=D 2=E ,D 1=D 0=0, (1分)

则()Y EGH EGH F EGF =+=左 (3分) 对于右选择器,D 3=D 2=0,D 1=D 0=E , (1分) 则()Y EGH EGH F EGF =+=右 (3分) Z Y Y EGF EGF =+=+左右 (2分) (共10分) 四、分析题(8分)

解:CLK=1时,T1、T2均截止,G1、G2构成的基本SR 触发器保持原记忆信息不变。 (2分)

CLK=0时,若D=0,T1饱和,T2截止,基本SR 触发器“清0”;

若D=1,T1截止,T2饱和,基本SR 触发器“置1”。 (4分) 综上,该电路具备D 锁存器功能,但CLK=0期间D 的输入信息将“直通”影响Q 的输出,这是电平触发方式触发器特有的问题。 (2分)

(共8分)

解:① 写方程组

驱动方程:01

011

010

J X Q K XQ J X Q

K XQ ?

=+=??

?=+=? (4分)

状态方程:将驱动方程代入JK 触发器的特性方程*Q JQ KQ =+中,得到电路的状态方程:

*

01010*1

0101()Q X Q Q XQ Q Q X Q Q XQ Q ?=+??=++?? (2分) 输出方程:10Y XQ XQ =+ (1分) ② 其次态卡诺图为:

Q 1*Q 0*/Y

(3分)

③ 画状态转换图 (2分)

0/0

(共12分)

六、设计题(12分)

解:由题意要求的状态循环,可以得到电路的次态卡诺图:

(2分)

考虑自启动要求,按照以下展开的次态卡诺图组圈:

(4分)

求得次态方程为:

*

21

*

10

*

02120 Q Q

Q Q

Q Q Q Q Q

?=

?

=

?

?

=+

?

(2分)

则驱动方程为:

21

10

02120 D Q

D Q

D Q Q Q Q

?=

?

=

?

?

=+

?

(2分)用边沿型D触发器实现电路如下:

(2分)(共12分)

七、分析题(10分)

解:当Q3Q2Q1Q0=1100时,使0

LD ,产生同步置数,将D3D2D1D0=0101置入Q3Q2Q1Q0,

该电路为一个八进制计数器。(5分)其状态转换图为:(5分)

(共10分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟与数字电子电路基础作业答案5

作业5 截止日期:2015-5-25 要求:写出步骤,独立完成 内容:第八章、第十章 1.课本第八章练习8.2。(20分) 提示:参考例8.1。 参考解答:i DS=K/2*(V GS-V T)2=K/2*(V DS-V T)2 i ds=K/2*2*(V DS-V T)*v ds=K(V DS-V T)*v ds 2.课本第八章练习8.6。(20分) 提示:参考8.2.2和8.2.4节,图8.19。 参考解答:v O=V S-R L*K/2*(V GS-V T)2=V S-R L*K/2*(v1-V T)2 在v1=V1时的小信号模型如下: 所以:1)r o=R L; 2)R TH=R L,U TH=-R L*K(V1-V T)*vi 3)r i=

3.课本第八章问题8.2的a, b, c三小题。(20分) 提示: 参考解答:i DS=K/2*(V GS-V T)2 ;v IN=V GS+v OUT ; V GS=v IN-v OUT i DS=K/2*(V GS-V T)2=K/2*(v IN-v OUT-V T)2 ids=K/2*2*(V IN-V OUT-V T)=K(V IN-V OUT-V T)*vin;所以g m=K(V IN-V OUT-V T) vout=ids*R=RK(V IN-V OUT-V T)*vin;vout/vin=RK(V IN-V OUT-V T) 4.课本第十章练习10.16。 提示:参考10.1.3小节。 参考解答:i R1=i R2=i C;v2=R2*i R2; V1=(R1+R2)*i C+V C=(R1+R2)*C*dV C/dt+V C; V C=V1(1-e-t/((R1+R2)*C))=6(1-e-t/0.009)=6(1-e-1000t/9) V2=R2*i R2=R2*i C=R2*C*dV C/dt=2000*3*10-6*6*(-e-1000t/9*(-1000/9))=4e-1000t/9 5.课本第十章练习10.24。假设RC时间常量的值很小。 提示:参考10.7。

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路_第八章答案

8 数字系统设计基础习题解答 1 自我检测题 [T8.1] 什么是数字系统? 数字系统是指对数字信息进行存储、传输、处理的电子系统。只要包括控制单元和数据处理单元就称为数字系统。 [T8.2] 说明自顶向下的设计方法及步骤。 首先从系统设计入手,在顶层将整个系统划分成几个子系统,然后逐级向下,再将每个子系统分为若干功能模块,每个功能模块还可以继续向下划分成子模块,直至分成许多最基本模块实现。 练习题 [P8.1] 采用“自顶向下”设计方法设计一4位数字频率计,测量范围为0~9999Hz,假设被测信号为标准的方波信号。 解:(1)4位数字频率计的顶层原理图 AA[3..0]BB[3..0]CC[3..0]DD[3..0] (2)底层功能模块的设计 ①CNT10模块设计 根据顶层设计对CNT10模块的功能定义,其VHDL语言源程序编写如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt10 IS PORT(clk:IN STD_LOGIC; clr:IN STD_LOGIC; cs:IN STD_LOGIC; qq:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

8 数字系统设计基础习题解答 2 co:OUT STD_LOGIC ); END cnt10; ARCHITECTURE one OF cnt10 IS BEGIN PROCESS(clk,clr,cs) BEGIN IF (clr=‘1’) THEN qq<=“0000”; ELSIF (clk'EVENT AND clk=‘1’) THEN IF (cs=‘1’) THEN IF (qq=9) THEN qq<=“0000”; ELSE qq<=qq+1; END IF; END IF; END IF; END PROCESS; PROCESS(qq) BEGIN IF (qq=9) THEN co<=‘0’; ELSE co<=‘1’; END IF; END PROCESS; END one; 根据频率计的原理图,前级计数器的进位输出作为下一级计数器的时钟输入。由于计数器采用时钟的上升沿触发,因此,计数器模块的进位输出设为低电平有效,以免下级计数器提前进位。 ②LOCK模块的设计 LOCK模块的功能是在锁存信号的上升沿将输入数据锁存到输出端,其VHDL语言源程序为: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记

[整理]《数字电子技术基础》习题没答案.

《数字电子技术基础》习题 第一章第一章数字电子技术概述 1.数字信号和模拟信号各有什么特点?描写脉冲波形有哪些主要参数 2.和模拟电路相比,数字电路有哪些优点? 3.在数字系统中为什么要采用二进制?它有何优点? 4.数字电路和模拟电路的工作各有何特点? ⒌把下列二进制数转换成十进制数: 10010110 11010100 0101001 110110.111 101101.101 ⒍将下列数转换为十进制数:1101B 4FBH 110.11B ⒎将下列数转换为二进制数:7.85D 3DF.2BH 256D ⒐将下列数转换为十六进制数:256D 1101.11B 110.11B ⒑将下列十进制数转换为对应的八进刺数: 21 130 27 250 48 1012 95 100.625 ⒒分别用842lBCD码、余3码表示下列各数: (9.04)10 (263.27)10 (1101101)2 (3FF)16 (45.7)8 ⒓列出用BCD码代替二进制的优点 ⒔列出用BcD码代替二进制的主要缺点j ⒕在数字系统的运算电路中使用BCD的主要缺点是什么 ⒖格雷码的另一个名字是什么 ⒗二极管电路及输入电压ui的波形如图1-1所示,试对应画出各输出电压的波形。 图1-1 ⒘半导体三极管的开、关条件是什么?饱和导通和截止时各有什么特点?和半导体二极管比较,它的主要优点是什么? ⒙⒙判断图1-2所示各电路中三极管的工作状态,并计算输出电压u o的值。

图1-2 ⒚N沟造增强型MOS管的开、关条件是什么?导通和截止时各有什么特点?和P沟道增强型MOS管比较,两者的主要区别是什么? 第二章第二章集成逻辑门电路 ⒈请举出生活中有关“与”、“或”、“非”的逻辑概念.并各举两个例子说明。 ⒉如图2-1所示,是二极管门电路,请分析各电路的逻辑功能.并写出其表达式。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路复习指导部分答案

第一章 逻辑代数基础 数制转换 1. 10= ( )2 =( )8=( )16 2. 16=( )2=( )10 3. 2=( )8=( )10 写出下列数的八位二进制数的原码、反码、补码 原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1 1.(-35)10= ( )原码= ()反码=()补码 2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码 3. (-110101)2 = ( )原码= ()反码=()补码 4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码 5. (-17)8=( )原码= ()反码=()补码 . 将下列三位BCD 码转换为十进制数 根据BCD 码的编码规则,四位一组展成对应的十进制数。 1. ()余3码 = (263)10 2. ()8421码= (596)10 分别求下列函数的对偶式Y ‘ 和反函数Y 1. D C B A Y ++=)( D C B A Y ?+?=)(' D C B A Y ?+?=)( 2. D A C B A Y ++= )()('D A C B A Y +??+= D C B A Y ?+?=)( 求下列函数的与非-与非式。 1. B A AB Y += B A AB Y ?= 将下列函数展成最小项之和的标准形式 1. Y=C B B A ?+? C B A C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()( 2. Q R S Y +=

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术基础第三版第二章答案

第二章逻辑门电路 第一节重点与难点 一、重点: 1.TTL与非门外特性 (1)电压传输特性及输入噪声容限:由电压传输特性曲线可以得出与非门的输出信号随输入信号的变化情况,同时还可以得出反映与非门抗干扰能力的参数U on、U off、U NH和U NL。开门电平U ON是保证输出电平为最高低电平时输入高电平的最小值。关门电平U OFF是保证输出电平为最小高电平时,所允许的输入低电平的最大值。 (2)输入特性:描述与非门对信号源的负载效应。根据输入端电平的高低,与非门呈现出不同的负载效应,当输入端为低电平U IL时,与非门对信号源是灌电流负载,输入低电平电流I IL通常为1~。当输入端为高电平U IH时,与非门对信号源呈现拉电流负载,输入高电平电流I IH通常小于50μA。 (3)输入负载特性:实际应用中,往往遇到在与非门输入端与地或信号源之间接入电阻的情况,电阻的取值不同,将影响相应输入端的电平取值。当R≤关门电阻R OFF时,相应的输入端相当于输入低电平;当R≥?开门电阻R ON时,相应的输入端相当于输入高电平。 2.其它类型的TTL门电路 (1)集电极开路与非门(OC门) 多个TTL与非门输出端不能直接并联使用,实现线与功能。而集电极开路与非门(OC 门)输出端可以直接相连,实现线与的功能,它与普通的TTL与非门的差别在于用外接电阻代替复合管。 (2)三态门TSL 三态门即保持推拉式输出级的优点,又能实现线与功能。它的输出除了具有一般与非门的两种状态外,还具有高输出阻抗的第三个状态,称为高阻态,又称禁止态。处于何种状态由使能端控制。 3.CMOS逻辑门电路 CMOS反相器和CMOS传输门是CMOS逻辑门电路的最基本单元电路,由此可以构成各种CMOS逻辑电路。当CMOS反相器处于稳态时,无论输出高电平还是低电平,两管中总有一管导通,一管截止,电源仅向反相器提供nA级电流,功耗非常小。CMOS器件门限电平U TH近似等于1/2U DD,可获得最大限度的输入端噪声容限U NH和U NL=1/2U DD。 二、难点: 1.根据TTL与非门特性,正确分析和设计电路; 2.ECL门电路的逻辑功能分析; 3.CMOS电路的分析与设计; 4.正确使用逻辑门。 三、考核题型与考核重点 1.概念 题型为填空、判断和选择。

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路第八章练习带答案

第八章(选择、判断、填空共23题) 一.选择题 1、PROM、PLA、PAL三种可编程器件中,()是可编程的。 A、PROM的或门阵列 B、PAL的与门阵列 C、PAL的与门阵列或门阵列 D、PROM的与门阵列 2、PAL是指()。 A、可编程逻辑阵列 B、可编程阵列逻辑 C、通用阵列逻辑 D、只读存储器 3、用PROM进行逻辑设计时,应将逻辑函数表达式表示成()。 A、最简“与—或”表达式 B、最简“或—与”表达式 C、标准“与—或”表达式 D、标准“或—与”表达式 4.可编程逻辑器件PLD,其内部均由与阵列和或阵列组成。其中,与阵列可编程的器件有() A、ROM B、PLA C、PAL D、GAL 5、用PLA进行逻辑设计时,应将逻辑函数表达式变换成()。 A、异或表达式 B、与非表达式 C、最简“与—或”表达式 D、标准“或—与”表达式 6、GAL16V8的最多输入输出端个数为()。 A、8输入8输出 B、10输入10输出 C、16输入8输出 D、16输入1输出 7、ispLSI器件中的GLB是指()。 A、全局布线区 B、通用逻辑块 C、输出布线区 D、输出控制单元 8、SYNARIO是一种() A、时钟信号 B、布线软件 C、通用电子设计工具软件 D、绘图工具 9、GAL是指()。 A、专用集成电路 B、可编程逻辑阵列逻辑 C、通用集成电路 D、通用阵列逻辑 10.在使用isp设计软件时,完成了()这一步之后,既可对器件进行下载编程。 A、设计输入 B、布局布线 C、逻辑仿真 D、JED文件生成 二、判断改错题(判断各题正误,正确的在括号内记“√”,错误的的在括号内记“×”并改正。) 1.PLA的与门阵列是可编程的,或门阵列是固定的。() 2.用PROM实现四位二进制到Gray码的转换时,要求PROM的容量为4 × 4b。()3.进行逻辑设计时,采用PLD器件比采用通用逻辑器件更加灵活方便。() 4.用GAL器件即可实现组合电路功能,又可实现时序电路功能。() 5.ispLSI系列器件是基于可编程数字开关的复杂PLD产品。() 三、填空题 1.PLD是由等四部分电路组成。根据阵列和输出结构的不同,PLD可分为

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

《数字电子技术》黄瑞祥 第八章习题答案

习题八答案 1. 试比较多谐振荡器、单稳态触发器、施密特触发器的工作特点,并说明每种电路的主要 用途。 答:多谐振荡器是一种自激振荡电路,不需要外加输入信号,它没有稳定状态,只有两个暂稳态。暂稳态间的相互转换完全靠电路本身电容的充电和放电自动完成。改变外接R 、C 定时元件数值的大小,可调节振荡频率。 施密特触发器具有回差特性,它有两个稳定状态,有两个不同的触发电平。施密特触发器可将任意波形变换成矩形脉冲,输出脉冲宽度取决于输入信号的波形和回差电压的大小。 单稳态触发器有一个稳定状态和一个暂稳态。输入信号起到触发电路进入暂稳态的作用,其输出脉冲的宽度取决于电路本身 R 、C 定时元件的数值。改变 R 、C 定时元件的数值可调节输出脉冲的宽度。 多谐振荡器是常用的矩形脉冲产生电路。施密特触发器和单稳态触发器是两种常用的整形电路。施密特触发器可用来进行整形、幅度鉴别、构成多谐振荡器等。单稳态触发器常用于脉冲的延时、定时和整形等。 2.在图8.2所示555集成定时器中,输出电压uo 为高电平UOH、低电平UOL及保持原来状态不变的输入信号条件各是什么?假定UCO端已通过0.01μF 接地,u D 端悬空。 答:当1=R 时, TR U <3V CC ,则C 2输出低电平, 1=Q ,OH o U u =。 当1=R 时, TH U > 32V CC ,TR U >3V CC ,则C 1输出低电平、C 2输出高电平,1=Q 、0=Q ,OL o U u =。 当1=R 时, TH U < 3 2V CC ,TR U >3V CC ,则C 1 C 2输出均为高电平,基本RS 触发 器保持原来状态不变,因此o u 保持原来状态不变。 3.在图8.3所示多谐振荡器中,欲降低电路振荡频率,试说明下面列举的各种方法中,哪些是正确的,为什么? 1) 加大R 1的阻值; 2) 加大R 2的阻值; 3) 减小C 的容量。 答:根据式(8-2)()ln221121C R R T f +== 可知,1)2)两种方法是正确的。 4.在图8.3用555定时器构成的多谐振荡器电路中,若R 1 = R 2=5.1k Ω,C=0.01μF ,V CC =12V ,试计算电路的振荡频率和占空比。 答:根据式(8-2)()ln221121C R R T f +== 可知,f ≈9.429 KHz 根据式(8-3)2 12 112R R R R T t q w ++==可知,3 2=q 5.在图8.7占空比可调的多谐振荡器中,C=0.2μF ,V CC =9V ,要求其振荡频率f =1KHz ,占空比q=0.5,估算R 1 、R 2的阻值。

相关文档
最新文档