ISE9.1i使用指南

ISE9.1i使用指南
ISE9.1i使用指南

第1节 ISE套件的介绍

1.1 ISE简要介绍

Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。Xilinx的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE 9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:

包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;

全面支持Virtex-5系列器件(业界首款65nm FPGA);

集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;

可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。

Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。1.2 ISE功能简介

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。

设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。

综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。

仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。

实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。

下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。

使用ISE进行FPGA设计的各个过程可能涉及到的设计工具如表1所示。

表 4-1 ISE设计工具表

1.3 ISE软件的安装

E9.1软件安装的基本硬件要求如下:CPU在P III以上,内存大于256M,硬盘大于4G 的硬件环境安装。为了更好地使用软件,至少需要512M内存,CPU的主频在2GHz以上。本书使用的集成开发环境是ISE 9.1,仿真工具是ModelSim 6.2b,综合工具为Synplify Pro 8.8。其中ISE、ModelSim软件和Synplify软件不同版本之间的差异不是很大,所以操作和设计结果的差别也是很小的。具体安装过程如下:

1.光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。

图1 ISE安装过程的欢迎界面

2.接着进入注册码获取、输入对话框,如图2所示。注册码可以通过网站、邮件和传真方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。

图2 ISE9.1安装程序的注册码输入界面

购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。首先在Xilinx的官方主页https://www.360docs.net/doc/2210846517.html,上建立自己的帐号,然后点击图1中的“Website”按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。

3.下一个对话框是Xilinx软件的授权声明对话框,选中“I accept the terms of this software license”,单击“Next”后进入安装路径选择界面,如图3所示。单击“Browse”按键后选择自定义安装路径,单击“Next”按键继续

图3 ISE软件安装路径选择对话框

4.接下来的几个对话框分别是选择安装组件选择,如图4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。在计算机硬盘资源不紧张的情况下,通常选择“Select All”。

图4 ISE安装组件选择界面

5.随后进入设置环境变量页面,保持默认即可。如果环境变量设置错误,则安装后不能正常启动ISE。选择默认选项,安装完成后,在“我的电脑”上单击右键,选择属性环境变量中,可看到名为“Xilinx”的环境变量,其值为安装路径。最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图5所示。

图5 ISE安装进程示意图

6.安装完成后,会在桌面以及程序菜单中添加Project Navigator的快捷方式。双击即可进入ISE集成开发环境。

1.4 ISE软件的基本操作

1.ISE用户界面

ISE9.1i的界面如图6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。

图6 ISE的主界面

标题栏:主要显示当前工程的名称和当前打开的文件名称。

菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。其使用方法和常用的Windows软件类似。

工具栏:主要包含了常用命令的快捷按钮。灵活运用工具栏可以极大地方便用户在ISE 中的操作。在工程管理中,此工具栏的运用极为频繁。

工程管理区:提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(Source View),快照视图(Snapshot View)和库视图(Library View)。其中源文件视图比较常用,显示了源文件的层次关系。快照是当前工程的备份,设计人员可以随时备份,

也可以将当前工程随时恢复到某个备份状态。快照视图用于查看当前工程的快照。执行快照功能的方法是选择菜单项Project | Take Snapshot。库视图则显示了工程中用户产生的库的内容。

源文件编辑区:源文件编辑区提供了源代码的编辑功能。

过程管理区:本窗口显示的内容取决于工程管理区中所选定的文件。相关操作和FPGA 设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。

信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等。信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(Find in Files)。如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。

状态栏:显示相关命令和操作的信息。

2.ISE菜单的基本操作

ISE所有的操作都可通过菜单完成,下面简要介绍ISE的菜单命令以及功能。

(1)File菜单

File菜单的命令包括:New Project、Open Project、Open Examples、Close Project、Save Project As、New、Open、Save、Save As、Save All、Print Preview、Print、Recent Files、Recent Projects以及Exit等。

New Project命令:用于新建工程,是开始设计的第一步。ISE会为新建的工程创建一个和工程同名的文件夹,专门用于存放工程的所有文件。

Open Project命令:用于打开已有的ISE工程。高版本的ISE可以打开低版本的工程,但需要版本转换,该转换是单向的、不可逆的,因此需要做好版本备份。低版本的ISE不能打开高版本的ISE工程。

Open Examples命令:用于打开ISE提供的各种类型的示例。

Close Project命令:关闭当前工程。如果关闭前未保存文件,ISE会提示用户保存后再退出。

Save Project As命令:可将整个工程另存为其他名字的工程,在大型开发中,常使用

该命令来完成版本备份。

New命令:用于新建源文件,可生成原理图、符号以及文本文件。文本文件另存为时可修改其后缀名,以生成.v或.vhd的源文件。

Open命令:用于打开所有Xilinx所支持的文件格式,便于用户查看各类文件资源。

Save、Save As以及Save All命令:分别用于保存当前源文件、另存为当前源文件以及保存所有源文件。用户要在开发当中养成及时保存文件的习惯,避免代码丢失。

Print Preview命令:用于打印预览当前文件,Print用于打印当前文件。

Recent Files命令:用于查看最近打开的文件。

Recent Projects命令:用于查看最近打开的工程。

Exit命令:用于退出ISE软件。

(2)Edit菜单

Edit菜单的命令包括:Undo、Redo、Cut、Copy、Paste、Delete、Find、Find Next、Find in Files、Language Templates、Select All、Unselect All、Message Filters、Object Properties以及Preference等,大多数命令用于源代码开发中。

Undo命令:用于撤销当前操作,返回到前一状态。

Redo命令:是Undo命令的逆操作,用于恢复被撤销的操作。

Cut命令:剪贴选中的代码, 快捷键为“CRTL+X”。

Copy命令:复制选中的代码, 快捷键为“CRTL+C”。

Paste命令:粘贴剪贴和复制的代码, 快捷键为“CRTL+V”。

Delete命令:删除选中的代码。

Find命令:查找选中的文字,或寻找在其输入框中输入的内容,快捷键为“CRTL+F”。

Find Next命令:寻找下一个要查找的内容,并跳至相应的位置,快捷键为“F3 ”。

Language Templates命令:可打开语言模版,里面有丰富的学习资料,是非常完整的HDL语言帮助手册,其地位类似于VisualC++的MSDN。

Select All命令:选中所有的代码,其快捷键为“CRTL+A”。

Unselect All命令:撤销已选中的全部代码,是Select All的逆操作。

Message Filter命令:过滤消息,只显示用户期望的消息。

Preference命令:用于设定ISE的启动参数以及运行参数,有着众多的设置项。

(3)View菜单

View菜单主要管理ISE软件的视图,不涉及FPGA开发中的任何环节,其中常用的命令有Layout Horizontally、Layout Vertically以及Restore Default Layout。

Layout Horizontally命令:将水平地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。

Layout Vertically命令:将垂直地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。

Restore Default Layout命令:将恢复ISE默认的主界面布局。

(4)Project菜单

Project菜单包含了对工程的各个操作,是设计中最常用的菜单之一,包括New Source、Add Source、Add Copy of source、Cleanup Project Files、Toggle Paths、Archive、Take Snapshot、Make Snapshot Current、Apply Project Properties以及Source Control命令。

New Source命令:用于向工程中添加源代码,可以添加HDL源文件、IP Core以及管脚和时序约束文件。

Add Source命令:将已有的各类源代码文件加入到工程中,Verilog模块的后缀为.v,VHDL模块的后缀为.vhd,IP core源文件为.xco文件或.xaw文件,约束文件的后缀为.ucf。 Add Copy of source命令,将目标文件拷贝一份添加到工程中。

Cleanup Project Files命令:用于清空综合和实现过程所产生的文件和目录。如果在EDIF 设计模式中,只清空实现过程所产生的文件。

Toggle Paths命令:用于显示或隐藏非工程文件夹中的远端源文件的路径;

Archive命令:用于压缩当前工程,包括所有的文件,默认压缩类型为.zip格式。

Take Snapshot命令:用于产生一个工程快照,即当前目录和远程资源的一个只读记录,常用于版本控制。

Make Snapshot Current命令:用户恢复快照覆盖当前工程。由于该命令会将当前工程删除,所以使用前一定要做好数据备份工作。

Apply Project Properties命令:应用工程属性,会提示用于选择相应工程。

Source Control常用于代码的导入和导出,有Export和Import两个子命令。

(4)Source菜单

Source菜单主要面向工程管理区,包含了对资源文件的各个操作,每个命令的操作也都可以在工程管理区单击右键弹出的对话框中点击实现,包括:Open、Set as Top Module、Use SmartGuide、New Partition、Delete Partition、Partition properties、Partition Force、Remove、Move to library以及Properties等命令。

Open命令:可打开所有类型的源文件,包括.v、.vhd、.xco、.xaw以及.ucf等格式。 Set as Top Module命令:用于将选中的文件设置成顶层模块。只有设置成顶层模块,才能对其综合、实现以及生成相应的二进制比特流文件。

Use SmartGuide命令:允许用户在本次实现时利用上一次实现的结果,包括时序约束

以及布局布线结果,可节省实现的时间,但前提是工程改动不大。

New Partition命令:新建分区,常用于区域约束。

Delete Partition命令:删除区域约束的分区

Partition properties命令:可设置分区属性。

Partition Force命令:包含“Force Synthesis Out-of-data”和“Force Implement Design Out-of-data”两个指令,分别用于分区综合和增量设计。

Remove命令:把选中的文件从工程中删除,但仍保留在计算机硬盘上。

Move to library命令:将选中的源文件移动到相应的库中,以便建立用户文件库。

Properties命令:查看源文件属性,有Synthesis/Implementation Only、Simulation Only 以及Synthesis/Imp+ Simulation三种类型,其中Simulation Only类文件只能仿真,不能被综合。

(5)Process菜单

Process菜单包含了工程管理区的所有操作,每个命令的操作也都可以在过程管理区点击相应的图标实现,包括:Inmolement Top Module、Run、Rerun、Rerun All、Stop、Open Without Updating 以及Properties等命令。

Inmolement Top Module命令:完成顶层模块的实现过程。

Run命令:在工程过程栏,选中不同的操作,点击改命令,可分别启动综合、转换、映射、布局布线等过程。

Rerun命令:重新运行Run指令执行的内容。

Rerun All命令:重新运行所有Run指令执行的内容。

Stop命令:停止当前操作,可中止当前操作,包括综合和实现的任一步骤。

Open Without Updating命令:改指令用于打开相应上一次完成的综合或实现过程所产生的文件。

Properties命令:在工程过程栏,选中不同的操作,点击该命令,可设置不同阶段的详细参数。

(6)Windows菜单

Windows菜单的主要功能是排列所有窗口,使其易看易管理。通过本菜单可以看到当前打开的所有窗口,并能直接切换到某个打开的窗口。由于各命令操作简单,不再介绍。

(7)Help菜单

Help菜单主要提供ISE所有帮助以及软件管理操作,包括:Help Topics、Software Manuals、Xilinx on the Web、Tutorials、Update Software Product Configuration、Tip of the

Day、WebUpdata以及About命令。

Help Topics命令:点击后,将自动调用IE浏览器打开ISE的帮助文档。

Software Manuals命令:点击后,将自动打开PDF文件,通过超链接到用户感兴趣的软件使用文档,其内容比网页形式的帮助文档要丰富。Xilinx on the Web命令:包括完整的Xilinx网络资源,可根据需要点击查看链接。

Tutorials 命令:包括本地快速入门ISE的说明文档和Xilinx网站的入门教学内容,可点击查看。

Update Software Product Configuration 命令:用于更新ISE软件的注册ID,如果试用版用户在试用期间购买了正版软件,不用卸载再重新安装,只需要通过该命令更换ID即可。

Tip of the Day命令:每天提示,可设置或关闭在每次启动ISE时,弹出对话框,列出ISE的最新功能和一个应用技巧。

WebUpdata命令:点击该命令,可自动连接到Xilinx的官方网站,下载最近的软件包并提示用户安装。

About命令:点击该命令将弹出ISE的版本,包括主版本和升级号以及注册ID。

第2节 HDL代码输入

2.1 新建工程

首先打开ISE,每次启动时ISE都会默认恢复到最近使用过的工程界面。当第一次使用时,由于此时还没有过去的工程记录,所以工程管理区显示空白。选择File|New Project 选项,在弹出的新建工程对话框中的工程名称中输入“one2two”。在工程路径中单击Browse 按键,当工程放到指定目录,如图7所示。

图7 利用ISE新建工程的示意图

然后点击“Next”进入下一页,选择所使用的芯片类型以及综合、仿真工具。计算机上所安装的所有用于仿真和综合的第三方EDA工具都可以在下拉菜单中找到,如图8所示。在图中,我们选用了Virtex4-10芯片,并且指定综合工具为Synplify(Verilog),仿真工具选为ModelSin-SE mixed。

图8 新建工程器件属性配置表

再点击“Next”进入下一页,可以选择新建源代码文件,也可以直接跳过,进入下一页。第4页用于添加已有的代码,如果没有源代码,点击“Next”,进入最后一页,单击确认后,就可以建立一个完整的工程。

2.2 代码输入

在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择“New Source”命令,会弹出如图9所示的New Source对话框。

图9 新建源代码对话框

左侧的列表用于选择代码的类型,各项的意义如下所示:

BMM File:

hipScope Definition and Connection File:在线逻辑分析仪ChipScope文件类型,具有独特的优势和强大的功能,将在M张进行讨论。

IP(Coregen & Architecture Wizard):由ISE的IP Core生成工具快速生成可靠的源代码,这是目前最流行、最快速的一种设计方法,将在4.5节详细讨论。

MEM File:

Implementation Constraints File:约束文件类型。

State Disgram:状态图类型。

Test Bench Wavaform:测试波形类型。

User Document:用户文档类型。

Verilog Module:Verilog模块类型。

Verilog Test Fixture:Verilog测试模块类型。

VHDL Module:VHDL模块类型。

VHDL Library:VHDL库类型。

VHDL Packet:VHDL包类型。

VHDL Test Bench:VHDL测试模块类型。

在代码类型中选择Verilog Module选项,在File Name文本框中输入one2two,单击Next进入端口定义对话框,如图10所示。

图10 Verilog模块端口定义对话框

其中Module Name就是输入的“one2two”,下面的列表框用于对端口的定义。“Port Name”表示端口名称,“Direction”表示端口方向(可以选择为input、output或inout),MSB 表示信号的最高位,LSB表示信号的最低位,对于单位信号的MSB和LSB不用填写。

定义了模块端口后,单击“Next”进入下一步,点击“Finish”按键完成创建。这样,ISE会自动创建一个Verilog模块的例子,并且在源代码编辑区内打开。简单的注释、模块和端口定义已经自动生成,所剩余的工作就是在模块中实现代码。填入的代码如下:

module one2two(x_in, flag, y1_out, y2_out);

input [7:0] x_in;

input flag;

output [7:0] y1_out;

output [7:0] y2_out;

// 以下为手工添加的代码

assign y1_out = flag ? x_in : 8'b0000_0000;

assign y2_out = flag ? 8'b0000_0000 : x_in;

endmodule

2.3 代码模板的使用

ISE中内嵌的语言模块包括了大量的开发实例和所有FPGA语法的介绍和举例,包括Verilog HDL/HDL的常用模块、FPGA原语使用实例、约束文件的语法规则以及各类指令和符号的说明。语言模板不仅可在设计中直接使用,还是FPGA开发最好的工具手册。在ISE 工具栏中点击图标,或选择菜单“Edit | Language Templates”,都可以打开语言模板,其界面如图11所示。

图11 ISE语言模版用户界面

界面左边有4项:ABEL、UCF 、Verilog以及VHDL,分别对应着各自的参考资料。其中ABEL语言主要用于GAL和ISP等器件的编程,不用于FPGA开发。

以Verilog为例,点击其前面的“+”号,会出现Common Constructs、Device Primitive Instantiation、Simulation Constructs、Synthesis Constructs以及User Templates 5个子项。其中第1项主要介绍Verilog开发中所用的各种符号的说明,包括注释符以及运算符等。第2项主要介绍Xilinx 原语的使用,可以最大限度地利用FPGA的硬件资源。第3项给出了程序仿真的所有指令和语句的说明和示例。第4项给出了实际开发中可综合的Verilog语句,并给出了大量可靠、实用的应用实例, FPGA开发人员应熟练掌握该部分内容。User Templates项是设计人员自己添加的,常用于在实际开发中统一代码风格。

下面以调用全局时钟缓冲器模版为例,给出语言模板的使用方法。在语言模板中,选择“Device Primitive Instantiation FPGA Clock Components Clock Buffers Global Clock Buffer (BUFG)”,即可看到调用全局时钟缓冲的示例代码,如图12所示。

图12 全局时钟缓冲器的语言模板

第3节基于ISE的开发流程ISE开发流程演示代码,将输入的数据加1寄存并输出。

module test(clk, din, dout);

input clk;

input [7:0] din;

output [7:0] dout;

reg [7:0] dout;

always @(posedge clk) begin

dout <= din + 1;

end

endmodule

3.1 基于Xilinx XST的综合

所谓综合,就是将HDL语言、原理图等设计输入翻译成由与、或、非门和RAM、触发器等基本逻辑单元的逻辑连接(网表),并根据目标和要求(约束条件)优化所生成的逻辑连接,生成EDF文件。XST内嵌在ISE 3以后的版本中,并且在不断完善。此外,由于XST是Xilinx公司自己的综合工具,对于部分Xilinx芯片独有的结构具有更好的融合性。

完成了输入、仿真以及管脚分配后就可以进行综合和实现了。在过程管理区双击Synthesize-XST,如图13所示,就可以完成综合,并且能够给出初步的资源消耗情况。图14给出了模块所占用的资源。

图13 设计综合窗口

图14 综合结果报告

综合可能有3种结果:如果综合后完全正确,则在Synthesize-XST前面有一个打钩的绿色小圈圈;如果有警告,则出现一个带感叹号的黄色小圆圈,如本例所示;如果有错误,则出现一个带叉的红色小圈圈。综合完成之后,可以通过双击View RTL Schematics来查看RTL级结构图,察看综合结构是否按照设计意图来实现电路。ISE会自动调用原理图编辑器ECS来浏览RTL结构,所得到的RTL结构图如图15所示,综合结果符合设计者的意图,调用了加法器和寄存器来完成逻辑。

图15 经过综合后的RTL级结构图

3.2 基于ISE的仿真

在代码编写完毕后,需要借助于测试平台来验证所设计的模块是否满足要求。ISE提供了两种测试平台的建立方法,一种是使用HDL Bencher的图形化波形编辑功能编写,另一种就是利用HDL语言。由于后者使用简单、功能强大,所以本节主要介绍基于Verolog语言的测试平台建立方法。

1.测试波形法

在ISE中创建testbench波形,可通过HDL Bencher修改,再将其和仿真器连接起来,再验证设计功能是否正确。首先在工程管理区将Sources for设置为Behavioral Simulation,然后在任意位置单击鼠标右键,在弹出的菜单中选择“New Source”命令,然后选中“Test Bench WaveForm”类型,输入文件名为“test_bench”,点击Next进入下一页。这时,工程中所有Verilog Module的名称都会显示出来,设计人员需要选择要进行测试的模块。由于本工程只有一个模块,所以只列出了test,如图16所示。

管理体系过程方法的概念和使用指南

最新国际质量管理文件 管理体系过程方法的概念和使用指南 1 引言 本文件为理解“过程方法”的概念、意图及其在ISO9000族质量管理体系标准中的应用提供指南。本指南也可用于其他管理体系采用过程方法,不论组织的类型和规模如何。 本指南的目的是推动描述过程的方法的一致性,并使用与过程有关的术语。 过程方法的目的是提高组织在实现规定的目标方面的有效性和效率。 过程方法的好处有: ?对过程进行排列和整合,使策划的结果得以实现; ?能够在过程的有效性和效率上下功夫; ?向顾客和其他相关方提供组织一致性业绩方面的信任; ?组织内运作的透明性; ?通过有效使用资源,降低费用,缩短周期; ?获得不断改进的、一致的和可预料的结果; ?为受关注的和需优先安排的改进活动提供机会; ?鼓励人员参与,并说明其职责。 2 什么是过程? “过程”可以定义为“将输入转化为输出的一组相互关联、相互作用的活动”。这些活动需要配置资源,如人员和材料。图1所示为通用的过程。

与其他方法相比,过程方法的主要优点是对这些过程间的相互作用和组织的职能层次间的接口进行管理和控制(在第4章中详细说明)。 输入和预期的输出可以是有形的(如设备、材料和元器件)或无形的(如能量或信息)。输出也可能是非预期的,如废料或污染。 每一个过程都有顾客和受过程影响的其他相关方(他们可以是组织内部的,也可以是外部的),他们根据其需求和期望规定所需要的输出。 应通过系统进行收集数据、分析数据,以提供有关过程业绩的信息,并确定纠正措施或改进的需求。 所有过程都应与组织的目标相一致,要规定所有过程都增值,与组织的规模和复杂程度相适应。 过程的有效性和效率可通过内部和外部评审过程予以评审。 3 过程的类型 可规定以下类型的过程 ——组织的管理过程。包括与战略策划、制定方针、建立目标、提供沟通、确保获得所需的资源和管理评审有关的过程。 ——资源管理过程。包括为组织的管理、实现、测量过程提供所需资源的所有过程。 ——实现过程。包括提供组织预期输出的所有过程。 ——测量、分析和改进过程。包括测量和收集业绩分析及提高有效性和效率的数据的那些过程,如测量、监视和审核过程,纠正和预防措施,它们是管理、资源管理和实现过程不可缺少的一部分。 4 过程方法的理解 过程方法是一种对如何使活动为顾客和其他相关方创造价值进行组织和管理的有力方法。

半天工程序操作指南

一.实名登记完整流程 二.半天工程序操作指南 (一)主页(功能简介) 半天工程序了实现工地现场的务工人员实名登记管理、实名考勤管理、工资发放信息公示和其他系统管理功能。 (二)专户信息登记 1.管理工程 左键单击【专户信息登记】→【管理工程】,点击项目名称左边的图标,可查看项目专户信息,该信息不可更改,如需更改请联系半天工客服人员。

2.基本信息 左键单击【专户信息登记】→【基本信息】,可填写项目的基本信息。 注意:请程序操作人员(劳资专管员)正确填写本人身份证号,同时关注半天工微信公众号,在微信号内绑定个人身份证号码即可接收工人登记信息提醒。 3.微信用户管理 左键单击【专户信息登记】→【微信用户管理】,填写人员身份证信息,添加多个微信用户,关注微信公众号并绑定后即可接收工人登记信息提醒。 (三)实名登记管理 注意:务工人员实名制登记时,请严格按照【用工单位登记】→【务工班组登记】→【务工人员实名制进场登记】的顺序来操作。 1. 用工单位管理

左键单击【实名登记管理】→【用工单位管理】,填写用工单位信息。 2. 务工班组登记 左键单击【实名登记管理】→【务工班组登记】,添加务工班组信息(请准确选择务工班组所属企业)。如需删除或修改务工班组信息,请点击班组名称左边图标进行编辑操作。 3. 务工人员实名制进场登记 注意:务工人员实名制登记进场有两种操作方法,一是在【实名登记管理】→【务工人员实名制进场登记】界面进行人员进场登记,二是在【实名登记管理】→【工人花名册】界面进行人员进场登记。这里先介绍第一种方法,第二种方法在下面会介绍。 (1)左键单击【实名登记管理】→【务工人员实名制进场登记】,点击左侧的[全部班组] →[xxx单位] →[xxx班组],然后点击右侧的[进场登记],开始进场登记操作。

C#常用数据库操作方法整理

C#常用操作数据方法整理 using System.Data.SqlClient; //导入sql数据库命名空间,这样可以用sql数据库操作相关类 String ConnectionStr = "server=.;database=数据库名;uid=sa;pwd=123456;"; //数据库连接字符串,server确定数据库服务器名,database定义数据库名,uid定义数据库使用者用户名,pwd为密码。 SqlConnection conn = new SqlConnection(ConnectionStr); //建立数据库连接,只有建立连接了才能操作数据。 //也可以合在一起:SqlConnection conn = new SqlConnection(“server=.;database=数据库名; uid=sa;pwd=123456;”); String SQLString=“”; //数据操作语句放在双引号中,语句有增,删,改,查操作。 SqlCommand comm = new SqlCommand(SQLString, conn); //建立数据库命令,确定sql数据操作语句,和数据库连接。 //也可以合并,SqlCommand comm = new SqlCommand(“数据库操作语句”, conn); conn.Open(); //打开数据库,只有打开数据库,数据库命令才能被执行。 int rows = comm.ExecuteNonQuery(); SqlDataReader dr = comm.ExecuteReader(); //专门用于读取数据库数据 Object result= comm. ExecuteScalar(); //或string result= Cmd. ExecuteScalar().Tostring(); //执行数据库命令: //ExecuteNonQuery()用于增,删,改操作,返回受影响的行数; //ExecuteReader()用于查操作,返回一个SqlDataReader类的对象; //comm.ExecuteScalar()执行查找,返回从数据表中查询结果的第一行第一个单元格的数据。conn.Close();

小程序使用说明文档

小程序使用说明文档 1.登录角色: 本次小程序主要支持的登录角色有:代理商、业务员两种角色 2.功能模块: 本次一期小程序主要实现的功能有三个,第一个商户经营状况查询;第二个商户预警提醒功能;第三个数据罗盘。 (1)商户经营状况 商户经营状况中,可以查看到所登录角色下属的所有活跃商户的交易状况(如果某个商户某一天一条交易记录都没有,那么它不会出现在当天的经营状况列表里面) 商户经营状况可以按照商户名查询某一个商户的经营状况;也可以按照具体某一天,或者按月来查询下属商户的交易状况;当然,这两个条件是可以组合使用的,你可以查询下属商户某一天或者某一个月份的经营情况! (2)商户预警 预警提醒功能分两个页签:“预警信息”和“等待确认”,都可支持按照商户名进行搜索 预警提醒中会显示登录角色下属的所有昨天交易量相对前天有所下降的商户,并且会按照下降比例从高到低的顺序进行排序。在预警提醒中,可以预警商户进行操作。 对于处于正常波动范围内的商户,点击长按,在弹出框中点击“忽略”,即可从预警信息列表中清楚该数据。

对于下降比例不正常的商户,点击长按,在弹出框中选择“等待确认”,即可把该条记录添加到等待确认列表中(预警列表中的数据每天都会刷新,所以请务必记得把异常商户及时添加到等待确认列表!)。 等待确认列表列表中显示当前登录角色从预警信息列表中添加过来的所有商户数据,在改列表中可以对商户进行处理。 对于不小心误操作过来的商户,可以点击长按,选择“正常”,从该列表中清楚该条数据。 对于无法挽回的商户,点击长按,在弹出框中选择“确认流失”,填写流失原因说明(必填!)后可从该列表中移出该条数据。 对于已经做出处理并挽回的商户,点击长按,在弹出框中选择“确认处理”,填写处理方法(必填)后,可从该列表中移出该记录。 对于所有添加到等待确认列表中的商户,具体的处理方法和处理说明记录,都有在数据库做记录。 (3)数据罗盘 数据罗盘主要是展示当前登录角色下的所有商户的交易情况的一些汇总信息。如:昨日交易总金额、较上周昨日同比增长或下降比例,昨日交易总笔数、较上周昨日同比增长或下降比例,累计开户数、本月新开户数;以及下属商户的星级占比饼图。 昨日交易总金额、较上周昨日同比增长或下降比例:昨日交易金额是指当前登录角色昨天的首款总额;较上周昨日同比增长或下降比例是指,昨天的交易总额和上周的同一天(如昨天是周二,就和上周二进行比较)的交易总额的上浮或下降比例[(昨天交易金额-上周昨日交易金额)/上周昨日交易金额] 昨日交易总笔数、较上周昨日同比增长或下降比例:比较方式与昨日交易总金额一样,只是以笔数为统计单位。 累计开户数和本月新开户数:累计开户数是当前登录角色下属所有的商户个数;本月新开是指进件日期为当前月份的商户个数。 星级排行:即后台的商户星级排行功能以饼图形式的展现,类别“其它”是指暂时没有星级的

软件使用说明书模板

(仅供内部使用) 文档作者:_____________________ 日期:___/___/___ 说明书校对:_____________________ 日期:___/___/___ 产品经理:_____________________ 日期:___/___/___ 请在这里输入公司名称 版权所有不得复制

软件使用说明书模板 1引言 1 .1编写目的 编写本使用说明的目的是充分叙述本软件所能实现的功能及其运行环境,以便使用者了解本软件的使用范围和使用方法,并为软件的维护和更新提供必要的信息。 1 .2参考资料 略 1 .3术语和缩写词 略 2 软件概述 2 .1软件用途 本软件的开发是为具有电能质量仪表,可以获取电能数据的技术人员提供一个有利的分析工具。 2 .2软件运行 本软件运行在PC 及其兼容机上,使用WINDOWS 操作系统,在软件安装后,直接点击相应图标,就可以显示出软件的主菜单,进行需要的软件操作。 2 .3系统配置 本软件要求在PC 及其兼容机上运行,要求奔腾II以上CPU,64兆以上内存,10G 以上硬盘。软件需要有WINDOWS 98 操作系统环境。 2 .4软件结构 略 2 .5软件性能 略 2 .6输入、处理、输出 2 .6.1输入 略 2 .6.2处理 略 2 .6.3输出 分析数据为: 略

图表有: 略 3 软件使用过程 3 .1软件安装 直接点击软件的安装软件SETUP.EXE ;然后按照软件的提示进行。 3 .2运行表 略 3 .3运行步骤 略 3 .4运行说明 略 3 .4.1控制输入 按照软件的说明,将测试数据加入到软件中;具体过程如下: 略 3 .4.2管理信息 软件运行过程中的密码键入: 略 3 .4.3输入输出文件 略 3 .4.4输出报告 略 3 .4.5输出报告复制 略 3 .4.6再启动及恢复过程 略 3 .5出错处理 软件运行过程中可能雏形的出物及处理如下: 略 3 .6非常规过程 如果出现不可能处理的问题,可以直接与公司的技术支持人员联系:略

Win32程序快速入门指南

Win32程序快速入门指南 1.程序说明 示例程序放在Win32ShapeOrg中 1.1_tWinMain _tWinMain是程序入口。 while (GetMessage(&msg, NULL, 0, 0))开始为消息处理循环。 如果程序运行到此处将进入一个消息响应过程,即如果有消息就会进入消息响应函数 LRESULT CALLBACK WndProc(HWND hWnd, UINT message, WPARAM wParam, LPARAM lParam) 1.2全局初始化 如果要做全局初始化可以在tWinMain函数中while (GetMessage(&msg, NULL, 0, 0))前1.3消息响应机制 win32程序是基于消息响应的,最核心的模块是消息响应函数 LRESULT CALLBACK WndProc(HWND hWnd, UINT message, WPARAM wParam, LPARAM lParam) 消息是依附在某个窗口的。其中hWnd是窗口句柄,windows程序里,每一个窗口都有一个HWND类型的句柄用于标识这个窗口。 message是UINT类型的消息,实质上整数,消息的其它信息包含在wParam和lParam中 1.4绘制函数 WM_PAINT是绘制消息,所有和绘制相关的代码都放在WM_PAINT消息响应部分(具体在hdc = BeginPaint(hWnd, &ps);和EndPaint(hWnd, &ps);之间),win32所有绘制函数都带有一个HDC类型设备上下文句柄的参数。 InvalidateRect(hWnd, NULL, true);语句会发出绘制消息。如果需要更新绘制画面,就可以调用此语句。 Windows绘制机制的基础是图像设备交互(GDI,Graphics Device Interface)。 Brush是用来填充的刷子,绘制的东西是实心的。Pen相当于画笔,用来描述绘制直线曲线时的颜色粗细样式等等。如果不做设置,系统会提供默认的设置。 直线和曲线函数在这里,这是一个直线段的例子。 //显示文字 char cMessage[128]; sprintf(cMessage, "%d, %d", g_xPos, g_yPos); SetBkMode(hdc, TRANSPARENT); //设置背景透明显示模式 TextOut(hdc, g_xPos+10, g_yPos-10, cMessage, strlen(cMessage)); //实心椭圆 int r = 9; Ellipse(hdc, g_xPos-r, g_yPos-r, g_xPos+r, g_yPos+r); //当前位置坐标&位置+直径: //空心椭圆 MoveToEx(hdc, g_xPos+r, g_yPos, 0); AngleArc(hdc, g_xPos, g_yPos, r, 0, 360); //画线

软件使用指南

MATLAB 软件使用指南 2009年3月 中国科学院计算机网络信息中心超级计算中心

目录 MATLAB 软件使用指南 (1) 目录 (2) 1. 软件介绍 (3) 2. 软件的安装与测试 (4) 2.1 安装目录及安装信息 (4) 2.2 测试结果 (5) 3. 软件的运行使用方法 (13)

1. 软件介绍 MATLAB 是一种用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境。使用 MATLAB,您可以较使用传统的编程语言(如C、C++ 和 Fortran)更快地解决技术计算问题。 MATLAB 的应用范围非常广,包括信号和图像处理、通讯、控制系统设计、测试和测量、财务建模和分析以及计算生物学等众多应用领域。附加的工具箱(单独提供的专用MATLAB 函数集)扩展了 MATLAB 环境,以解决这些应用领域内特定类型的问题。 MATLAB 提供了很多用于记录和分享工作成果的功能。可以将您的 MATLAB 代码与其他语言和应用程序集成,来分发您的 MATLAB 算法和应用。 主要功能 此高级语言可用于技术计算 此开发环境可对代码、文件和数据进行管理 交互式工具可以按迭代的方式探查、设计及求解问题 数学函数可用于线性代数、统计、傅立叶分析、筛选、优化以及数值积分等 二维和三维图形函数可用于可视化数据 各种工具可用于构建自定义的图形用户界面 各种函数可将基于 MATLAB 的算法与外部应用程序和语言(如C、C++、Fortran、Java、COM 以及 Microsoft Excel)集成 更多详细信息,请参考以下网页: https://www.360docs.net/doc/2210846517.html,/products/matlab/description1.html

HPDS2017教程程序使用说明

公路路面设计程序系统(HPDS2017)使用说明 本说明由十一个部分和四个附件组成,它们是: 一、系统总说明 ----------------------------------------------------------------------- 1 二、系统主菜单窗口使用说明 ----------------------------------------------------------- 5 三、改建路段留用路面结构顶面当量回弹模量计算程序(HOC)使用说明----------------------- 6 四、沥青路面设计与验算程序(HAPDS)使用说明 ------------------------------------------ 8 五、路基验收时路段内实测路基顶面弯沉代表值计算程序(HOCG)使用说明-------------------- 15 六、路面交工验收时路段内实测路表弯沉代表值计算程序(HOCA)使用说明-------------------- 17 七、改建路段原路面当量回弹模量计算程序(HOC1)使用说明-------------------------------- 19 八、新建单层水泥混凝土路面设计程序(HCPD1)使用说明 ---------------------------------- 21 九、新建复合式水泥混凝土路面设计程序(HCPD2)使用说明 -------------------------------- 28 十、旧混凝土路面上加铺层设计程序(HCPD3)使用说明 ------------------------------------ 33 十一、基(垫)层或加铺层及新建路基交工验收弯沉值计算程序(HCPC)使用说明 ------------- 38 附件一、沥青路面材料代码与材料名称对照表 --------------------------------------------- 40 附件二、水泥混凝土路面基(垫)层材料代码与材料名称对照表 ----------------------------- 43 附件三、版权声明 --------------------------------------------------------------------- 44 附件四、作者简介 --------------------------------------------------------------------- 44 现分别叙述如下: 一、系统总说明 1.本系统是根据新发行的《公路沥青路面设计规范》JTG D50-2017和已发行的《公路水泥混凝土路面设计 规范》JTG D40-2011的有关内容编制的,共包括如下九个程序: (1)改建路段留用路面结构顶面当量回弹模量计算程序HOC (2)沥青路面设计与验算程序HAPDS (3)路基验收时路段内实测路基顶面弯沉代表值计算程序HOCG (4)路面交工验收时路段内实测路表弯沉代表值计算程序HOCA (5)改建路段原路面当量回弹模量计算程序HOC1 (6)新建单层水泥混凝土路面设计程序HCPD1 (7)新建复合式水泥混凝土路面设计程序HCPD2 (8)旧混凝土路面上加铺层设计程序HCPD3 (9)基(垫)层或加铺层及新建路基交工验收弯沉值计算程序HCPC 2.系统的特点 (1)采用Visual Basic 6.0 for Windows 语言编程,在Windows系统下运行,有良好的用户界面; (2)功能齐全,凡公路路面设计与计算所需的程序应有尽有; (3)计算速度快,精度高;

GRRM 程序指南

GRRM 1.00
Chemistry is a wonderful world with lots of unexplored materials, which is producible from ca. one hundred kinds of chemical elements. More than thirty millions of compounds have already been known, and now two millions of new chemical compounds are produced annually. Invention and discovery of chemical reactions among compounds have extensively been made by chemists. Eighty years ago, when quantum mechanics was discovered, all problems in chemistry seemed to be insolvable. Equations for chemical problems are so complex that many theoreticians had abandoned to solve the problems at that time. However, some theoretical chemists had continually made efforts to improve approximation techniques solving chemical problems until many problems could have been solved effectively by means of electronic computers and computational techniques. By virtue of recent developments, the range of quantum chemical treatments has rapidly been widened so that we are now able to apply them to various chemical problems. A theoretical technique based on quantum chemical calculations has made it possible to determine a stable geometrical structure and its energy in good accuracy for a chemical system without experiments. This is called “structure-optimization”, which can be used by anyone in nowadays. However, it requires an initial guess, which should be made on the basis of our experience or chemical intuition. Since no general method exists to find out suitable initial guesses, one cannot avoid try-and-errors before one finally obtains some valuable conclusions such as new compounds or new chemical reaction pathways. It follows that a global search of isomers and reaction pathways among them has never been accomplished except for very small systems not larger than a four atom system. It has been an unexplored summit to perform a global search of isomers and inter-conversion reaction pathways among them for a chemical system composed of more than four atoms. 1 GRRM 1.00 / Ohno&Maeda

数据库的使用说明

数据库的使用说明 一、登录 ●在Windows“开始”、“程序”中找到SQL Server 2000的程序项,运行查询分析器。 ●在“连接到SQL Server”对话框中选择“使用SQL Server身份验证”,输入登录名和 密码,服务器中填入“Server”,按“确定”即可使查询分析器客户端连上服务器。 用户帐号为pb05207,密码为pb05207。 ●在查询分析器的工具栏中可以选择当前要操作的数据库,在编辑窗口中写入SQL 语句后,就可以在“查询”菜单中或工具栏中选择“执行”,即可将输入的SQL语 句交给Server执行; ●在左边的树形视图中可以看到当前可以操作的数据库的结构。 ●更详细的使用可以查看帮助文件,帮助文件在 C:\Program Files\Microsoft SQL Server\Books下。 其中部分帮助文件的简要说明如下: qryanlzr.chm 查询分析器的帮助 tsqlref.chm Transact SQL语言的帮助 createdb.chm 创建和管理数据库、表、视图的帮助 acdata.chm 查询和更改数据库 dtswizrd.chm DTS导入/导出向导帮助 二、关于数据库的操作说明 登录后首先建立一个自己的数据库,以自己的学号作为数据库名; 然后进入自己的库中进行SQL语言的各种操作练习,例如建表,插入数据,删除表等等。

三、 查询分析器的一些使用说明 对象结构 模板工具 执行 数据库选择 查询窗口 打开新的查 询窗口, 系统根据选 择的菜单项, 自动地给出 相应的SQL 脚本

四、数据的导入和导出 ●运行SQL Server 2000的导入和导出数据。(其帮助信息参见dtswizrd.chm) ●选择数据源。如某表要插入的数据存放在文件中,则选择数据源的类型为“文本文 件”,操作如下: ●选择文件格式。如数据文件中每一行表示一条记录,列之间通过TAB键分隔,字 符串以单引号限定,则作如下设置:

实验五SRIM程序使用指南

实验五 SRIM计算重离子在材料中的剂量分布 一、实习目的和要求 (一)实习目的: 1、熟悉SRIM程序的基本使用方法,以及在辐射剂量和防护计算中的应用。 2、通过此程序仿真模拟重带电粒子入核的过程,获得离子在材料中的剂量分布。 3、通过进一步自学,利用SRIM程序解决实际工作中的碰到的一些实际问题。 (二)实习要求: 1、掌握SRIM软件的基本组成、操作方法; 2、利用SRIM对离子在不同物质中的射程进行计算分析; 3、对质子在不同固体靶中的径迹及剂量分布进行简单的计算,并对计算结果进行分析并绘图,得出结论。 二、SRIM程序简介 1、SRIM软件介绍 SRIM是模拟计算离子在靶材中能量损失和分布的程序组。它采用Monte Carlo方法,利用计算机模拟跟踪一大批入射粒子的运动。粒子的位置、能量损失以及次级粒子的各种参数都在整个跟踪过程中存储下来,最后得到各种所需物理量的期望值和相应的统计误差。该软件可以选择特定的入射离子及靶材种类,并可设置合适的加速电压。可以算不同粒子,以不同的能量,从不同的位置,以不同的角度入射到靶中的情况。SRIM中包含一个TRIM运算软件。 TRIM(Transport of Ions in Matter)是一个非常复杂的程序。它不仅可以描述离子在物质中的射程,还可以详细计算注入离子在慢化过程中对靶产生损伤等其他信息。它可以使用动画让你看到离子注入到靶中的全过程,并给你展示级联反冲粒子和靶原子混合在一起的情形。为了精确估计每个离子和靶原子间相遇时的物理情形,程序只能一次对一个粒子进行计算。这样的话,计算可能消耗可观的时间——计算每个离子花费的时间从一秒到几分钟不等。而精确度由模拟采用的离子数来决定。典型的情况是,应用1000个离子进行计算将得到好于10%的精确度。 软件特点:

标准操作流程(SOP)编写指南

标准操作流程(SOP)编写指南 (XMABR20-1-2012.内部) 1 总则 (1) 目的 (1) 范围 (1) 篇章 (1) 2 术语和定义 (1) 标准操作流程(SOP) (1) 关键控制点 (1) 3 SOP编写原则 (1) 4 SOP编写要点 (2) SOP编制流程 (2) SOP文件分类及审批要求 (2) SOP的组成要求、模版 (3) 5 附则 (9) 1总则 1.1目的 为规范标准操作流程(SOP)的编写,根据相关标准化的文件要求,及结合本公司的特点,特制定本编写指南。 1.2范围 本指南适用于XMABR集团成员企业。 1.3篇章 本指南共分为5章,2个附录。 2术语和定义 2.1标准操作流程(SOP) SOP,是Standard Operation Procedure三个单词中首字母的大写,即标准作业流程,是将某一事件的标准操作步骤和要求以统一的格式描述出来,用来指导和规范日常的工作;是对某一程序中的关键控制点进行细化、量化和最优化的操作层面的程序。 2.2关键控制点 能够控制并使过程中的不利因素得到预防、消除或降低到可接受水平的某一点、某一步骤或程序。 3SOP编写原则 SOP编写须具备条理化、规范化、形象化;清晰的逻辑性;准确性、精确性、可操作性;以及便于核查或考核。 4SOP编写要点

4.1SOP编制流程 SOP编制涉及的相关部门需要履行的职责,及具体的操作流程依此编制流程图执行。 SOP编制流程图 1 4.2SOP文件分类及审批要求 4.2.1SOP的分类 公司依据不同管理需求将SOP分为生产、技术与质量、环境与安全、研发、采购、营销行政管理、财务、环境及职业健康、信息、检测、工程设计服务、标准化及内部审核等类别。 4.2.2SOP的审批 各类型的SOP的审核审批权限按表1规定执行。

Omnic红外软件使用指南

Omnic软件使用指南 Omnic软件使用指南 1.Omnic与系统 Omnic是Nicolet公司的在PC机使用最广泛的窗口软件平台上运行的红外软件,从开始在Windows3.1上运行的版本的1.0到目前的6.1a,现行的的操作系统Windows98/Me/NT/2000/XP都支持。EZ-Omnic是简化的软件,一方面价格比较低,同时更加简明,容易掌握,虽然功能比较简单,仍可以满足先当部分用户的需求。 使用的仪器通讯接口有:LTP(并行口)或PCI卡,部分早一些的仪器使用ISA卡。 2.文件结构 Omnic 6.0以上版本的缺省的文件分别存在于三个目录中:C:\My Documents\Omnic,在其子目录中分门别类地存放数据与参数等文件,如Spectra存光谱,Param中存设置参数,Quant存定量方法;C:\Program Files\Omnic,存有驱动与程序文件等,系统的卸载命令在它的子目录Uninstall中;C:\MyDocument\Omnic\Lib,存放谱库,包括购买和自建的谱库。 软件安装的应用程序除了Omnic外还有Bench Diagnostics,这是一个在系统发生故障时进行判断的重要命令,能够检查从接口卡到仪器的各个重要部件。它们与PDF文件一起置于Thermo Nicolet程序组中, 3.启动Omnic软件 使用下列方法之一启动Omnic 红外软件系统: 1.在Windows98等的桌面上双击(或者) 2.从Srart→Program→Thermo Nicolet→Omnic(或者从Srart→Program→Omnic5.0→ ) 3.其他,如Win98中的快捷方式启动。 4.Omnic显示面板: 1.Omnic是一种与窗口软件充分兼容的软件,可以显示一个或多个显示窗口,当显示多个窗口时可以选择平铺(Tile)或层叠(Cascade)方式,但其中只有一个是活动窗口(被选中的)。光谱图可以在窗口间拖动、复制与粘贴,而且可以把复制的光谱图直接粘贴到其他应用程序的文本文件中,为发表文章或书写报告带来方便。 2.在每个显示窗口中,可以显示一个到多个光谱图,最后加入的光谱是自动被选中的,缺省颜色是红色。有些对光谱进行进一步处理时需要或可以同时处理多个光谱。需要有多个被选中的光谱时,通过按住Ctrl或Shift键操作鼠标来增减被选中光谱。 3.标题框在光谱窗口的上面,标题内容为人工输入,或根据使用的需要,通过“选项”中所设定的方式中适当选择自动生成。 4.按“信息按钮”或双击“标题框”中的标题,打开“选中”光谱的采集和数据处理记录的窗口,在其中的注释(Comment)等若干框中,可以输入文字信息,这些信息可以随同谱图一起打印,其它的记录为非编辑内容。 5.当显示多个光谱图时,按“标题框”右边的箭头,显示出所有谱图的标题表。用鼠标击标题表(选中)后,用键盘上的箭头键可以改变被选中的光谱,同时可以编辑被选中的光谱的标题。按住Ctrl或Shift对标题表或窗口中的光谱点击鼠标左键,可以增加或减少一个或多个被选中的光谱。 6.图标工具是用来定义鼠标功能的: 选择,范围,坐标,峰高,峰面积,标注。 7.“取景窗”中显示有完整的光谱图(被选中的),并指明光谱在窗口中的显示范围。通过鼠标及与的联

小程序使用指南

小程序使用指南 微信小程序是什么? 张小龙在小程序内测时说:“小程序是一个不需要下载安装就可使用的应用,它实现了应用触手可及的梦想,用户扫一扫或者搜一下即可打开应用。也体现了用完即走的理念,用户不用关心是否安装太多应用的问题。应用将无处不在,随时可用,但又无需安装卸载”。 那么,小程序精细化使用怎么做?一份最最全面的小程序推广手册送给大家,助您成为企业赢家。 1. 发传单地推(传单、海报、易拉宝等) 线下扫码是小程序最基础的获客方式之一,用户通过微信扫小程序码即可打开小程序。商家可以将小程序码与营销活动相结合,比如发传单、印海报、在店面门口展示易拉宝等,以利益吸引用户主动扫码进入小程序。 2. 线下活动推广 活动推广是营销的重要方式之一。商家可以通过举办一些线下活动来推广自己的小程序,比如在商场、商业街等人流量多的地方举办活动,比如在店面举办「用小程序下单送XXX」等让利活动等。 3. 抢占小程序名称 我们微信搜索是小程序一个很重要的入口,甚至在张小龙的计划中,搜索会成为微信小程序的主要入口,所以这么重要的“地方”我们不能不抢占啊。而且小程序的名

称具有唯一性,一个好用的名字被别人抢注了就没了。所以,尽早注册符合自己的行业、用户习惯、产品特性的小程序很有必要。 4. 小程序名称搜索优化 微信搜索支持关键词模糊匹配与搜索,所以自定义关键字也会影响到小程序的排名,在设置关键词时,可借鉴百度推广的投放技巧,结合微信指数进行考量,确保关键词符合微信使用场景。关键词挑选:地域词、品牌词、人群词、产品词、行业词,且与主营业务相关等。需要注意的是,关键词应尽量选择短词、热词等。建议根据数据反馈每周进行关键词优化。 5. 附近的小程序入口 我们都知道,微信小程序和支付宝小程序都有“附近的小程序”功能,当你的小程序展示在“附近的小程序”的时候,小程序自动展示给 5 公里范围内的所有微信用户。还有,针对线下连锁门店,小程序支持 10 个地理位置展示,你想想看,10个地理位置,每个半径 5 公里,几乎整个城市都有你的小程序。 6. 卡券入口 卡券是微信为线下商家推出的功能之一,在卡券界面中,我们可以点击相应的优惠券、会员卡等进入相应的小程序。人具有贪小便宜的本性,所以我们在推广小程序的时候,不妨多送一些优惠券,以提高转化率。 7. 关联公众号 公众号是最目前常规推广中涨粉最快、最稳定的一种方式。小程序与公众号关联后,小程序可以嵌入公众号自定义菜单中,也可以插入推文中,同时在公众号资料页

国外数据库的使用方法介绍

一、美国 (1)Wiley InterScience(英文文献期刊) Wiley InterScience是John Wiely & Sons 公司创建的动态在线内容服务,1997年开始在网上开通。通过InterScience,Wiley公司以许可协议形式向用户提供在线访问全文内容的服务。Wiley InterScience收录了360多种科学、工程技术、医疗领域及相关专业期刊、30多种大型专业参考书、13种实验室手册的全文和500多个题目的Wiley学术图书的全文。其中被SCI 收录的核心期刊近200种。期刊具体学科划分为:Business, Finance & Management (商业、金融和管理)、Chemistry (化学)、Computer Science (计算机科学)、Earth Science (地球科学)、Education (教育学)、Engineering (工程学)、Law (法律)、Life and Medical Sciences (生命科学与医学)、Mathematics and Statistics (数学统计学)、Physics (物理)、Psychology (心理学)。 [转帖]如何用代理注册自己的wiley interscience密码 忘记了是在哪里看到的了,不过的确是好用,我已经注册了一个,介绍给大家,大家不妨也注册一个。wiley interscience原来的确验证方法已经失效,大家不妨用SD代理试试看,一般来说定了SD的确图书馆大多也定了wiley interscience。 注意:(1)必须进入代理后进行注册,否则没有相应的权限,注册后要击活,方法是:进入代理后(2)当你手上有INTERSCIENCE的密码时,不要忘记去看看过期了没有!inTERSCIENCE的PWD 用90天左右,必须续用!(据说不必须进入代理就右进行续用)方法是快到期之前点MYPROFILE--------点ActivateRoaming Access-----点LICENSE SOURCES、REFRESH ROAMING ACCESS即可。 =============================================== (2)美国IEEE (英文文献期刊) IEEE(Institute of Electrical & Electronics Engineers)是电子信息领域最著名的跨国性学术团体,其会员分布在世界150多个国家和地区。据IEEE统计,IEEE会员总数2001年比2000年增加3.1%,达到377342人,其中学生会员为65669人,增长12.6%。 随着人们的信息越来越多地来自Internet,IEEE需要为会员提供更加完善和全面的电子信息产品和服务。IEEE应成为IEEE会员获得信息的首选之地。IEEE必须识别正确的信息,并提供对它们的访问方法。实现这个目标的重要一步是通过IEEE Xplore与IEEE/IEE Electronic Library (IEL)连接。IEL包括了1988年以来IEEE和IEE的所有期刊杂志和会议录,以及IEEE的标准,可以通过题目、关键词和摘要进行查阅。 IEEE密码 =============================================== (3)美国EBSCO(英文文献期刊) https://www.360docs.net/doc/2210846517.html, 登陆-----点MYPROFILE--------点ActivateRoaming Access即可。 数据库简介: EBSCO公司从1986年开始出版电子出版物,共收集了4000多种索引和文摘型期刊和2000多种全文电子期刊。该公司含有Business Source Premier (商业资源电子文献库)、Academic Search Elite(学术期刊全文数据库)等多个数据库。500XK6 Business Source Premier收录了三千多种索引、文摘型期刊和报纸,其中近三千种全文

顺控程序使用手册

基于X7000监控系统平台程序化控制使用手册 编制:王强 审核:武钊 批准: 南京新宁光电自动化有限公司 2008-11-13

顺控编辑 一、文件类型 顺控文件分两种:模板文件、过程文件,具体区别于联系参考表一、 表一 二、界面介绍 用户在登录后,在系统工具栏上点击图标,并选择点击下拉菜单中的【顺控编辑】 选项,进入程序化控制编辑的界面。如图一所示。 图一 用户可以通过使用『新建』和『打开』按钮新建或打开一个图形文件。在介绍该软件的使用方法以前,首先介绍一下该主界面的布局及相应功能。图中各个部分分别是: ◆区域1:菜单项目 各个子菜单涵盖了基本的图形编辑控制命令。 ◆区域2:编辑工具条 各种基本图形编辑控制命令。

◆区域3:检验工具箱 用于检验模板及过程文件的完备性。 ◆区域4:图元工具条 用于将某种图元通过拖动的方法选入绘图区域中。 ◆区域5:绘图区域 1、菜单栏 1)、【文件】菜单 主要进行常规的文件处理。见表二。 表二 2)、【编辑】菜单 编辑的主要选项见表三 3)、【操作票】菜单 表四 2、编辑工具栏 编辑工具栏在菜单栏之下,完成图形的基本编辑工作。如图二: 图二

各个功能分别是: ◆ 『新建』 新建程序化控制文件。点击此按钮,弹出如图三所示的界面。可选择创建模板或过程文件。 图三 ◆ 『打开』 打开保存过的控制文件。从系统指定路径中(默认为D:\Galasys\Graph\AutoCon )。打开一个已经建立的控制文件,快捷键Ctrl+O 。点击该按钮,弹出过程选择对话框,如图四所示。 图四

◆『保存』 保存图形。新建的控制文件首次保存,将会有保存文件名的提示对话框。快捷键Ctrl+S。 ◆『撤销』 撤消最后一步操作,恢复到前一步的状态。 ◆『重做』 撤销操作的逆操作,将撤销的操作重新恢复。 ◆『复制』 将当前选中的图元进行复制。 ◆『粘贴』 将新近复制的图元粘贴在该图元的位置。 ◆『删除』 删除选定内容。 ◆『中心对齐』 使多个图元根据首个图元的中心坐标对齐,方便绘制。 ◆『视图属性』 设置图形属性,可以设置图形编辑区域的背景颜色、区域大小、文件控制信息等,所有这些设置都是通过弹出一个如下图所示的对话框来实现的。如图五所示。 图五

ACCESS数据库使用方法和技巧

关于Access数据库的说明: Microsoft Access是Microsoft Office中的一个套件,如果您的电脑中安装了Microsoft Office,那么一般来说您的电脑中就安装了Microsoft Access,因为Microsoft Access是Microsoft Office默认的安装套件。有了Microsoft Access你就可以用它来打开扩展名为MDB 的Access数据库文件了。 我们提供的Access数据库需要用Microsoft Access2000(或者Microsoft Access XP或者Microsoft Access2003,Microsoft Access97可能打不开),因此如果您的电脑中是Microsoft Access97,那么您需要将您的Microsoft Office升级到2000或者更高版本。 Access数据库文件必须用Microsoft Access来打开,如果您的电脑中没有Microsoft Access,那么您可能需要安装Microsoft Office2000或者更高版本;如果您的电脑中已有Microsoft Office,但没有Microsoft Access,那么您可能需要插入Microsoft Office光盘,安装Microsoft Access套件。 1、打开光盘,将ACCESS数据库复制到硬盘; 2、双击打开ACCESS数据库文件; 3、关闭ACCESS数据库窗口; 4、打开“工具”--“数据库实用工具”—“转换数据库”—“到当前ACCESS数据库版本; 5、选中ACCESS数据库文件--“转换” 6、重新命名ACCESS BD1文件即完成。 1、双击打开ACCESS数据库文件; 2、在“对象”选卡中点击“查询”—选取“企业名录查询”; 3、单击“设计”进入选择查询界面; 4、确定您需要检索的字段,如“企业名称”、“通讯地址”、“邮政编码”、“经营范围”、“行 业名录”等; 5、按字段检索时,请在“准则”表格中填入Like“*深圳*” 注意:“深圳”就是关键字,改变一下关键字就是您要设定的检索了,Like“*深圳*”就是检索命令。 6、点击“保存”即完成查询。

相关文档
最新文档