数字电路实验

数字电路实验
数字电路实验

2.3 编码器、译码器的应用

2.3.1 实验目的

1.熟悉编码器、译码器的工作原理和使用方法。

2.掌握中规模集成编码器、译码器的逻辑功能及应用。

3.掌握编码器的设计方法及应用。

4.熟悉数码管的工作原理及使用方法。

2.3.2 实验仪器与器件

2.3.3 实验原理

在数字系统中,编码器和译码器都是常用的组合逻辑电路。编码器其功能就是实现编码操作的电路,即将输入的高、低电平信号编成一个对应的二进制代码。按照被编码信号的不同特点和要求,编码器也可以分为二进制编码器、二—十进制编码器和优先编码器。译码器是编码的逆过程,其功能是将每个输入的代码进行“翻译”,译成对应的输出高、低电平信号。按用途分类可以分为变量译码器、码制变换译码器和显示译码器。 (一)编码器

由门电路来设计一个编码器。例如设计一个4线-2线编码器。

第一步,根据题意列真值表如表2.3.1所示。 表2.3.1 4线-2线编码器真值表 第二步,由真值表写出逻辑表达式。

012301231I I I I I I I I Y += 012301230I I I I I I I I Y +=

第三步,画出逻辑图。

最后把函数变换为与非门和非门形式的表达式, 得到4线-2线编码器的电路如图2.3.1所示。

典型集成芯片74LS148是8线-3线优先编码器,其管脚图如图2.3.2所示。

输入

I 3 I 2 I 1 I 0 0 0 0 1

0 0 1 0 0 1 0 0 1 0 0 0

输出

0011

Y 1Y 00101

图2.3.1 4线-2线编码器电路图 图2.3.2 74LS148管脚管脚排列及逻辑符号

表2.3.2 8线-3线优先编码器的真值表

(二)译码器

译码器是一个多输入、多输出的组合逻辑电路。它的作用是对输入代码进行“翻译”,使输出通道中相应的一路或多路有信号输出。有效电平可以是高电平(称为高电平译码),也可以是低电平(称为低电平译码)。一般有以下几类:1)二进制译码器,一般具有n 个输入

端、2n

个输出端和一个(或多个)使能输入端;2)码制变换器,用于一个数据的不同代码之间的相互转换,如BCD 码二-十进制译码器、格雷码与二进制码之间的转换的译码器等;3)显示译码器,是用来驱动各种数字、文字或符号的显示器,如共阴极BCD-七段显示译码器和共阳极BCD-七段显示译码器等。常见的有2线-4线译码器、3线-8线译码器和4线-16线译码器等。图2.3.3、图2.3.4所示分别是3线-8线译码器74LS138的管脚排列图和逻辑符号。

图2.3.3 74LS138译码器管脚排列及逻辑符号 图2.3.4 74LS138逻辑符号

译码器典型应用之一是实现组合逻辑电路。例如用3线-8线译码器74LS138和门电路

I 3Y 1

I 2I 1I 0

Y 0

9

10

11

1

212

13

314

45678

15

16

I 4O

O

O

O

O

O

O

O

O

O

O

O

O

O

I 0

GND

V CC I 5I 6I 7I 0

I 1

I 2

I 3

Y 0

Y 1Y 2Y S

Y ES

S I 1I 2I 3I 4I 5

I 6

I 7

Y 0

Y 1

Y 2

Y S Y ES S(E)

9

10

11

1212

13

314

45678

15

16

O

O

O

O

O

O

O

O

O O

Y 5

GND

V CC

A 0Y 7Y 0

Y 1

S 2Y 4Y 3Y 2A 0A 1

A 2

S 1

Y 6

Y 7

Y 0Y 1A 1A 2S 1S 3S 2

S 3Y 2

Y 3

Y 4

Y 5

Y 6

设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位,输出为两数之差D 和本位向高位的借位信号CO 。

分析题意可得真值表,如表2.3.3所示,从真值表可以得到D 和CO 的表达式:

1247Y Y Y Y CI B A I C B A I C B A ABCI CI B A I C B A I C B A ABCI D =+++=+++= 1237Y Y Y Y CI B A I C B A BCI A ABCI CI B A I C B A BCI A ABCI CO =+++=+++=

由此可见用3线-8线译码器可以实现上述电路,如图2.3.5所示,从上例中可以看出3线-8线译码器可以实现多输出函数。

表2.3.3 全减器真值表

输 入输 出A B CI D CO 00000000000010100111001011101

1

1

01

1

111111

图2.3.5 74LS138实现全减器电路

(三)数码显示译码器

在一些数字系统中,不仅需要译码,而且需要把译码的结果显示出来。例如,在计数系统中,需要显示计数结果,在测量仪表中,需要显示测量结果。用显示译码器驱动显示器件,就可以达到显示数据的目的。目前广泛使用的显示器件是七段数码显示器,七段数码显示器由a ~g 七段可发光的线段拼合而成,控制各段的亮或灭,即可以显示不同的字符或数字。七段数码显示器有半导体数码显示器和液晶显示器两种。 1.七段发光二极管(LED )数码管 图2.3.6、图2.3.7是半导体七段数码管BS201A 的内部结构和外形图及编码规则,这种数码管的每个段都是一个发光二极管LED (Light Emitting Diode )。二极管LED 的正极称为阳极,负极称为阴极。当LED 加上正向电压时,发光二极管发光。有的数码管的右下角还增设了一个小数点,形成八段显示。由BS201A 的等效电路可见,构成数码管的七只LED 的阴极是连接在一起的,属于共阴结构。如果把七只LED 的阳极连接在一起,则属于共阳结构。

a

b

c

d

e

f

g

DP

.( b )

b c d e

f

g

DP

。。。。。。a

阳极

。......

图2.3.6 半导体数码显示器外形图及等效电路

LED 数码管可用来显示一位0~9十进制数和一个小数点,如图2.3.7所示。每段发光

二极管的正向压降通常约为2V ~2.5V ,每个发光二极管的点亮电流在5mA~10mA 。LED 数码管要显示BCD 码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

e

f

e

f

f

c

e

f

b

c

b

c

e

f

b

c

f

图2.3.7 数码显示器

2.BCD 码七段译码驱动器

BCD 码七段译码驱动器型号有74LS47(共阳结构),74LS48(共阴结构),CC4511(共

阴结构)等。本实验采用74LS48BCD 七段译码、驱动器,驱动共阴极LED 数码管。A 3~A 0是8421BCD 码输入端,Ya~Yg 是输出端,为七段显示器件提供驱动信号。显示器件根据输入的数据,可以分别显示数字0~9。

74LS48除了完成译码驱动的功能外,还附加了灯测试输入LT 、消隐输入BI ,灭零输入RBI 和灭零输出RBO 等控制信号。由功能表2.3.4可见,当灯测试输入LT =0时,无论输入A 3~A 0的状态如何,输出Ya~Yg 全部为高电平,使被驱动的数码管七段全部点亮。因此,LT =0信号可以检查数码能否正常发光。

当消隐输入BI =0时,无论输入A 3~A 0的状态如何,输出Ya~Yg 全部为低电平,使被驱动的数码管七段全部熄灭。

当A 3A 2A 1A 0=0000时,本应显示数码0,如果此时灭零输入RBI =0,则使显示的0熄灭。设置灭零输入信号的目的是为了能将不希望显示的0熄灭。例如,对于十进制数来说,整数部分不代表数值的高位0和小数部分不代表数值的低位0,都是不希望显示的,可以用灭零输入信号将它们熄灭掉。将灭零输出RBO 与灭零输入RBI 配合使用,可以实现多位数码显示的灭零控制。

表2.3.4 共阴极七段显示译码器74LS48 0-9的译码表

2.3.4 实验内容

(一)基础实验部分

1. 测试8线-3线优先编码器74LS148的逻辑功能。

表2.3.5 验证8线-3线优先编码器74LS148的逻辑功能表

2. 病房优先呼叫器

每一个病房有一个按键,当1#键按下时,1灯亮,且其它按键不起作用;当1#键没按下时,2#键按下,2灯亮,且不响应3#键;只有1#、2#键均没有按下,3#键按下,3灯亮。要求用门电路或者译码器等中规模器件设计电路并验证其功能。

3.用译码器实现多输出函数

用1片74LS138和1片74LS20设计A、B、C三变量的两组输出函数Z1和Z2.即当A、B、C中有奇数个1时,输出Z1=1,否则Z1=0;当A、B、C的值(十进数)为偶数(不含0)时,输出Z2=1,否则Z2=0。要求列出Z1、Z2的逻辑表达式,用74LS138和74LS20实现其功能。

4.用74LS153构成2线-4线译码器,要求写出设计过程,画出电路图。

(二)提高部分

5.用74LS138设计判决电路。判决电路由一名主裁判和两名副裁判来决定比赛成绩,在主裁判同意并且两名副裁判中至少有一名同意的条件下,比赛成绩才被认可。

6.用门电路设计四位格雷码到四位二进制码的转换电路,要求写出设计过程,画出电路图。

7.用Multsim软件来设计和仿真实验6。

2.3.5 思考题

1.用于驱动共阳极数码管的译码驱动器,它的输出是高电平有效,还是低电平有效?驱动共阴极的呢?

2.如何将两个3线-8线译码器扩展成一个4线-16线的译码器?

3.写出共阴极七段数码显示管的0-9、A-F对应的译码。

2.4 数据选择器的应用

2.4.1 实验目的

1.了解数据选择器的电路结构和特点。

2.掌握数据选择器的逻辑功能和测试方法。

3.掌握数据选择器的基本应用。

2.4.2 实验仪器与器件

2.4.3 实验原理

数据选择器又称为多路开关,是一种重要的组合逻辑部件。它是一个多路输入、单路输出的组合电路,能在通道选择信号(或称地址码)的控制下,从多路数据传输中选择任何一路信号输出。在数字系统中,经常利用数据选择器将多条传输线上的不同数字信号,按要求选择其中之一送到公共数据线上。另外数据选择器还可以完成其它的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 (一)用门电路设计四选一数据选择器

四选一数据选择器表达式为301201101001d A A d A A d A A d A A Y +++=,由表达式可以得到当A 1A 0=00时,Y=d 0;A 1A 0=01时,Y=d 1; A 1A 0=10时,Y=d 2;A 1A 0=11时,Y=d 3,这样就起到数据选择的作用。同时由表达式可以直接用门电路设计出数据选择器电路,该电路如图2.4.1所示。

(二)双四选一数据选择器74LS153的应用

74LS153数据选择器集成了两个四选一数据选择器,外形为双列直插,引脚排列如图2.4.2所示,逻辑符号如图2.4.3所示,其中D 0、D 1、D 2、D 3为数据输入端,Q 为输出端,A 0、A 1为数据选择器的控制端(地址码),同时控制两个数据选择器的输出,S 为工作状态控制端(使能端),74LS153的功能表见表2.4.1。

用数据选择器74LS153实现组合逻辑函数设计举例:

当变量数等于地址端的数目时,则直接可以用数据选择器来实现逻辑函数。现设逻辑函数F (X ,Y )=∑m (1,2),则可用一个四选一完成,根据数据选择器的定义:

30120110100101D A A D A A D A A D A A )A ,Q(A +++=,令A 1=X ,A 0=Y ,1S =0(使能

信号,低电平有效),1D 0=1D 3=0,1D 1=1D 2=1,那么输出Q=F 。

9

10

11

1

212

13

3144

5

67

8

15

16

1S GND

V CC A 11D 31D 2

2D 02D 12D 22D 32Q

1Q

1D 02S A 01D 1

图2.4.1 门电路实现的四选一数据选择器 图2.4.2 74LS153管脚图 图2.4.3 74LS153逻辑图

当变量数大于地址端的数目时,可采用降维或者集成芯片扩展的方式。例如用一块74LS153实现一位全加器,一位全加器的逻辑函数表达式为:

S (A ,B ,CI )=∑m (1,2,4,7) CO (A ,B ,CI )=∑m (3,5,6,7)

以CI 为图记变量,降维后A 、B 作为数据选择器的地址端A 1、A 0,输出1Q=S ,2Q=CO ,卡诺图如图2.4.4和图2.4.5所示,得到数据输入:1D 0=CI ,1D 1=CI ,1D 2=CI ,1D 3=CI ,2D 0=0,2D 1=CI ,2D 2=CI ,2D 3=1,构成的逻辑电路如图2.4.6所示。

图2.4.4 S 的卡诺图 图2.4.5 CO 的卡诺图 图2.4.6 一位全加器的电路图

(三)八选一数据选择器74LS151的应用

74LS151外形为双列直插,引脚排列如图2.4.7所示,逻辑符号如图2.4.8所示。其中D 0、D 1、D 2、D 3、D 4、D 5、D 6、D 7为数据输入端,Q 为输出端,A 0、A 1、A 2为数据选择器的控制端(地址码),控制数据选择器的数据输出,EN 为工作状态控制端(使能端),74LS151的功能表见表2.4.2。八选一数据选择器的表达式为:

70126012501240123012201210120012012D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A )A ,A ,Q(A +++++++=

。1

1

≥1

A 1

A 0d 0d 1d 2

d 3

&

&

&

&

Y

..

..

..

A 1A 0

1

G 03

MUX

EN 0231Q

0123

1S 1D 01D 1

1D 2

1D 32S 2D 02D 12D 2

2D 3

2Q

EN 1AB

CI

S

000111100110011

01

降维

AB

S

00011110

CI

CI

CI

CI

AB CI

0001111001

01

00

01

11

降维

AB

00011110

CI

1

CI

CO

CO S

输入 1 — — 0 0 0 0 0 1 0 1 0 输出0

1D 01Q 2Q 0

0 1 1

S

A 1A 0

1D 11D 21D 32D 02D 12D 22D 3

表2.4.1 74LS153功能表

9

10

11

1212

13

314

4567

8

15

16

D 3O

O

A 1

GND

V CC

EN Q

Q A 0

D 7

D 6

D 3D 2

D 1D 0A 2

EN

F

D 4D 5

F

D 2D 1D 0D 4

D 5

D 6

D 7

A 0

A 1

A 2

图2.4.7 74LS151的管脚图 图2.4.8 74LS151逻辑符号

用数据选择器74LS151实现组合逻辑函数举例: 表2.4.2 74LS151功能真值表

当变量数与地址码的数量一致,不需要降维或者扩展。例如逻辑函数F (X ,Y ,Z )=∑(1,2,4,7),令A 2=X ,A 1=Y ,A 0=Z ,EN=0(使能端,低电平有效),D 1=D 2=D 4=D 7=1,D 0=D 3=D 5=D 6=0,那么输出Q=F 。

当逻辑函数的输入变量数超过了数据选择器的地址控制端位数时,则必须进行逻辑函数降维或者集成芯片扩展。例如用一块74LS151实现四位奇偶校验码,当输入变量中有偶数个1时,输出为1,否则输出为0。

根据题意,列出真值表,真值表和卡诺图如表2.4.3和图2.4.9所示,降维后即可得到电路如图2.4.10所示。

表2.4.3 奇偶校验码真值表

图2.4.9 卡诺图和降维卡诺图 图2.4.10 电路图

(四)数据选择器的扩展

有些MUX 采用3S (即三态)输出结构,这样就为扩展提供了方便。例如用两片74LS151扩展成十六选一的数据选择器,如图2.4.11所示。

CD

B

0001111001

A A

0A

A A

A A

F 降维

CD

00011110000101000110F 1110

01

10

01

10

AB

输 入

A B C D 0 0 0 0

0 0 0 1 0 0 1 0 0 0 1 1 输出

0001F 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

011001101001

输入

输出EN A 2A 1A 0Q X X X 100000000

0000010100111001011101

1

1

0D 0D 1D 2D 3D 4D 5D 6D 7

图2.4.11 16选1的数据选择器 图2.4.12 数据选择器的典型应用

(五)数据选择器的综合应用

数据选择器与分频器结合产生一组不同频率的选择器。如图2.4.12所示,有一振荡频率为10MH Z 具有较高频率稳定度的晶体振荡器,晶振输出的方波再经8级十分频器,就能同时获得频率从1MH Z 到0.1H Z 的8种方波信号,供实验电路选择。这种选择完全由数据选择器的地址码A 2 A 1 A 0来决定。

2.4.4 实验内容

(一)基础实验部分

1.验证74LS151的逻辑功能 按表所列测试,特别注意所测芯片A 2、A 1、A 0哪一个是高位,EN 端是否低电平有效,当芯片封锁时,输出是什么电平。将实验结果记录在表2.4.4中。

2.用74LS153实现一位全加器

用一块74LS153及门电路实现一位全加器,输入用3个开关分别代表A 、B 、CI

,输出用2个指示灯分别代表CO 、S1。要求写出设计过程,画出逻辑图,并按表2.4.5要求改变开关状态,观察2个指示灯的变化,记录结果。

表2.4.4 验证74LS151的逻辑功能 表2.4.5 一位全加器实验结果

3.用数据选择器实现组合逻辑函数

用八选一数据选择器或者四选一数据选择器设计一个电路,该电路有3个输入逻辑变量

输入

输出

EN A 2A 1A 0Q Q

X X X 100000000

0000010100111001011101

1

1

A 、

B 、

C 和1个工作状态控制变量M ,当M =0时电路实现“意见一致”功能(A 、B 、C 状态一致输出为1,否则输出为0),而M =1时电路实现“多数表决”功能,即输出与A 、B 、C 中多数的状态一致。

4.用74LS153扩展成一个八选一的数据选择器,再实现实验3,要求写出设计过程,画出电路图。

(二)提高部分

5.利用八选一数据选择器或四选一数据选择器实现一个输血者血型和受血者血型符合输血规则的电路,输血规则如图2.4.13所示。

图2.4.13 输血规则表

从规则可知,A 型血能输给A 、AB 型,B 型血能输给B 、AB 型,AB 型血只能输给AB 型,O 型血能输给所有四种血型。设输血者血型编码是X 1X 2,受血者血型编码是X 3X 4,符合输血血型规则时,电路输出F 为1,否则为0。

6.试用八选一数据选择器74LS151或者四选一数据选择器74LS153和适当的门电路设计一个路灯控制电路。要求在四个不同的地点都能独立地开灯和关灯。写出设计过程,并且验证设计结果是否正确。(提示:可以把四个地点的开关当作四个变量,当变量为奇数个1时,路灯亮,偶数个时灭。)

7.利用74LS151数据选择器实现判断电路 表2.4.6 课程学分表 学生选修课程及学分如表2.4.6所示,每个学生至少必须选满6个学分,但是A ,B 课程因时间冲突,不能同时选上。利用数据选择器实现判断电路,满足要求时输出Y 为1,否则为0。写出设计过程,并且验证设计结果是否正确。

8.用两块74LS153和一个七段数码管(实验箱上提供,已有译码器)构成数据显示器,实验要求电路在任意时刻能显示1(0001)、6(0110)、9(1001)、8(1000)四个数据之一,由地址码控制串行显示。

9.用74LS153来实现第7题。

10.用Multsim 软件来设计和仿真实验7、9题。

2.4.5 实验思考

1.说明数据选择器的地址输入端和选通端各有什么作用?

2.如何用74LS151设计4位奇偶校验电路?

3.如何用74LS151实现10110111序列信号?

4.数据选择器地址端的权重高低与被选函数输入数据有什么联系?

输血者血型编码A 00B 01AB 10O

11

受血者血型编码A 00B 01AB 10O

11

2.6 组合逻辑电路的设计2.6.1 实验目的

1.掌握用基本门电路进行组合电路设计的方法。

2.掌握用中规模集成电路设计组合电路的方法。

3.通过实验验证设计的正确性。

2.6.2 实验仪器与器件

2.6.3 实验原理

图2.6.1 中小规模组合逻辑电路的设计流程框图

组合逻辑电路的设计一般可按以下几个步骤:

(1)根据任务要求把一个实际问题转化为逻辑问题,即逻辑抽象;

(2)根据实际逻辑问题的要求(输入、输出之间的因果关系),列出真值表。再由真值表写出逻辑函数表达式,或者根据要求直接写出逻辑函数表达式;

(3)进行逻辑化简和变换,得到最简逻辑函数表达式。根据采用的器件类型对逻辑式进行适当变换,如变换成与非-与非表达式、或非-或非表达式等;

(4)画出逻辑图,选择合适器件构成功能电路;

(5)检测电路是否正确,如果电路的稳定性不够好,需检查故障及修改电路的设计使得电路趋于完善;

在以上几个步骤中,其中逻辑抽象的工作至关重要,通常是:①分析事件的因果关系,确定输入输出变量。一般总是把引起事件的原因定为输入变量,而把事件的结果作为输出变量。②定义逻辑状态的含意。以二值逻辑的0、1两种状态分别代表输入变量和输出变量的两种不同状态。此时的0和1的具体含意完全是由设计者人为选定的。这项工作也称为逻辑

状态赋值。③根据给定的因果关系列出逻辑真值表。可以看出,整个设计过程中,第一步最关键,如果题意理解错误,则设计出来的电路就不能符合要求。同时,逻辑函数的化简也是一个重要的环节,通过化简,可以用较少的逻辑门实现相同的逻辑功能,这样一来,可降低成本、节约器件及增加电路的可靠性。随着集成电路的发展,化简的意义已经演变成为怎样使电路最佳,所以,设计中必须考虑电路的稳定性,即有无竞争冒险现象,竞争冒险会影响电路的正常工作。如果设计的电路有竞争冒险现象,则需要采用适当方法予以消除。 (一)用基本门电路设计组合逻辑电路

例1.某设备有开关设为A 、B 、C ,具体执行时要求只有在开关A 接通的条件下,开关B 才能接通,开关C 只有在开关B 接通的条件下才能接通。违反这一规则,发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。

根据题意,第一步进行逻辑抽象,该报警电路的输入变量是三个开关A 、B 、C 的状态,设开关接通用1表示,开关断开用0表示,设该电路的输出报警信号为F ,F 为1表示报警,F 为0表示不报警。

第二步在分析题意的基础上可列出真值表以及用卡诺图化简,分别如表2.6.1和图2.6.2所示,由真值表得到函数表达式F=∑m(1,2,3,5)。

表2.6.1 真值表

A B C 0 0 0

0 0 1 0 1 0 0 1 1 0111F 1 0 0 1 0 1 1 1 0 1 1 1

0100

BC

A

F 0001111001110010

10

&O

&O

1

o

1

o

&O

A B

C

F

.

图2.6.2 卡诺图 图2.6.3 电路图

第三步由卡诺图化简得到F 的最简表达式为C B B A C B B A F =+=。

第四步由表达式画出逻辑电路图,如图2.6.3所示。

第五步选择合适的器件构成电路,检测电路是否正确,并且测试电路稳定性,修改或者增加电路使得电路稳定性更好。

(二)用中规模集成器件实现组合逻辑电路

中规模集成器件多数是专用功能器件,但利用它们可以实现一些特定的逻辑函数。 例2.用中规模器件设计一并行数据检测器,当输入4位二进制码中,有奇数个1时,输出F 1为1;当输入的这4位二进码是非8421BCD 码时,F 2为1,其余情况F 1、F 2均为0。 根据题意我们可以得到F1,F2的真值表以及相应的卡诺图,如表2.6.4、图2.6.5和图2.6.6所示。完成以上功能可以选用两种不同的中规模器件来实现这个逻辑功能。

1.用74LS151八选一数据选择器来实现。因为输入是四变量的函数,而74LS151八选一数据选择器是3地址输入的数据选择器,所以首先要进行降维或者扩展。注意到输出是两个变量,所以必须要用两块74LS151来分别实现。最后得到的电路如图2.6.8和图2.6.9所示。

表2.6.4 F 1 F 2真值表

BA DC

F1 00011110

00 01

1

1

1

1

11 10

1

1

1

1

降维

BA

C00011110

0 1

D

D

D

D

D

D

D

D

F1

__

__

BA

DC

F2

00011110

00

01

11

10

1

1

1

1

1

1

BA

C00011110

1

D

D

D

D

D

D

F2

降维

图2.6.5 F1卡诺图图2.6.6 F2 卡诺图

图2.6.8 用数据选择器来实现函数F1图2.6.9 用数据选择器来实现函数F2

A

A

A

A

图2.6.10 用4线-16线译码器来完成函数F1、F2

2.用4线-16线译码器74LS154来实现。因为译码器是多输入多输出的逻辑器件,所

以一块74LS154可以同时实现F1和F2的功能,电路如图2.6.10所示。

2.6.4 实验内容

(一)基础实验部分

1. 用适当的门电路设计一个能对4路数据进行任意选择的数据选择器。设4路数据分别为A1=1,A2=逻辑开关,A3=1Hz脉冲信号,A4=点动脉冲。要求写出设计全过程。

2. 用2输入异或门和与非门设计一个路灯控制电路

当总开关闭合时,安装在三个不同地方的三个开关都能独立地控制灯的亮或灭;当总电源开关断开时,路灯不亮。

3.设计一个密码锁。密码锁的密码可以由设计者自行设定,设该锁有规定的4位二进制代码A3A2A1A0的输入端和一个开锁钥匙信号B的输入端,当B=1(有钥匙插入)且符合设定的密码时,允许开锁信号输出Y1=1(开锁),报警信号输出Y2=0;当有钥匙插入但是密码不对时,Y1=0,Y2=1(报警);当无钥匙插入时,无论密码对否,Y1=Y2=0。

4.用双四选一数据选择器74LS153来实现三人表决电路。

5.工厂有三个车间,每个车间各需1KW电力,共有两台发电机供电,一台是1KW,另一台是2KW。三个车间经常不同时工作,有时只一个车间工作,也可能有两个车间或者三个车间工作,为了节省资源,又保证电力供应,请设计一个逻辑控制电路,能自动完成配电任务。

(二)提高部分

6.设计一计算机房的上机控制电路。此控制电路有X、Y两个控制端,控制上午时的取值为01;控制下午时的取值为11;控制晚上时的取值为10。A、B、C为需要上机的三个学生,其上机的优先顺序为:上午为ABC,下午为BCA,晚上为CAB。电路的输出F1、F2和F3为1时分别表示A、B和C能上机。试用与非门实现该电路,要求写出设计全过程,并画出逻辑电路图。

7.用八选一数据选择器74LS151或者四选一数据选择器74LS153来完成二进制码转换为8421BCD码的变换电路。要求写出设计全过程,并画出逻辑电路图。

8.用Multsim仿真软件来设计实验6、7。

2.6.5 实验思考

1.什么叫冒险现象?如何判断一个组合逻辑电路中是否存在冒险现象?

2.在出现冒险现象的电路输出端,串接两个“非门”电路能消除冒险现象吗?试分析是否合乎逻辑,并在实验中验证。

3.最简的组合电路是否就是最佳的组合电路?本实验例1如何修改使得系统更稳定?

2.7 触发器与计数器的应用

2.7.1 实验目的

1.掌握触发器的功能及触发特性。

2.了解计数器的基本结构,掌握用触发器构成计数器的方法。

3.理解分频和计数的概念,掌握任意进制计数器的构成方法。

2.7.2 实验仪器与器件

2.7.3 实验原理

(一)基本元件触发器

触发器是能够存储1位二值信号的基本单元电路,是构成时序电路最基本的单元,是中规模集成时序电路的组成元件。触发器的组成是由门电路经过输出输入信号的反馈作用,使得触发器的现态输出不仅与当前的输入有关,也和之前的状态有关,使得触发器成为具有记忆功能的元件。触发器的种类很多,按其逻辑功能分,主要有R-S 触发器、J-K 触发器、D 触发器、T 触发器等;按电路原理分,有基本触发器、钟控触发器、主从触发器、边沿触发器等。不管哪一种触发器,它的输出状态不外乎为置0,置1,保持,翻转四者之一,并且各种触发器的输出表达式可以相互转换。

74LS74是TTL 双D 触发器,其输出特性方程n+1

Q

D =,真值表如表2.7.1所示,管脚

图和逻辑符号如图2.7.1和图2.7.2所示。74LS112是TTL 双JK 触发器,其输出特性方程

n+1n n Q =JQ KQ +,真值表如表2.7.2所示,其管脚图和逻辑符号分别如图2.7.3和2.7.4所

示。

表2.7.1 D 触发器真值表 表2.7.2 JK 触发器真值表

D

Q n+1001

1

J K Q n+10000111

1

01Q n Q n

图2.7.1 74LS74 D 触发器管脚图 图2.7.2 74LS74D 触发器逻辑符号图

1S D 1J 1CP

1K 1R D

2S D 2J 2CP 2K 2R D

1Q

2Q

2Q S S 1J C11K R

R

1J 1K C1

图2.7.3 74LS112 JK 触发器管脚图 图2.7.4 74LS112 JK 触发器逻辑符号图

(二)计数器与分频器

分频器是把外部周期的CP 脉冲的频率转换为1/M (M 是模值),即从最高位输出信号的频率是输入脉冲频率的1/M 倍。计数器则是对外部CP 脉冲进行计数,最后计数到一定数值就产生溢出。模为M 的计数器就是计到M 个脉冲信号时就产生溢出信号。如果计数脉冲和分频器的外部脉冲一样,则计数器和分频器就是同一个过程的不同叫法。分频是指把频率降下来,例如五分频即指最高位的频率是外部CP 脉冲的1/5。计数是指对外部脉冲计数,有几个脉冲,计数器的状态就变换几次。例如模为5则指计数器在计到5个外部脉冲,就产生溢出信号。当外部脉冲是一样时,二者的联系是模为M 的计数器的最高位输出即为分频器的输出。

计数器是一种能够记录输入脉冲个数的时序电路,计数是日常生活中最常遇见的算术动作,所以计数器应用广泛,种类繁多。按工作方式分,有同步和异步两类;按计数模值分,有二进制、十进制和任意进制;按计数顺序分,有加法、减法和可逆(双向)之分。目前常用的计数器都已有成品,一般来说,除计数外,它们还具备清零或预置功能,本实验采用的计数器为74LS90和74LS161,74LS90是一块二-五-十进制异步计数器,外形为双列直插,引脚排列如图2.7.5所示,图中的NC 表示此脚为空脚,不接线,逻辑符号如图2.7.6所示。其中R 1、R 2为两个异步清零端,P 1、P 2为两个异步置9端,CP 1、CP 2为两个时钟输入端,Q 0~Q 3为计数输出端,74LS90的功能表见表2.7.3,由表可知:当R 1·R 2=P 1·P 2=0时,计数器才能正常计数。如时钟从CP 1引入,Q 0输出为二进制;时钟从CP 2引入,Q 3输出为五进制;时钟从CP 1引入,而Q 0接CP2,即二进制的输出与五进制的输入相连,则Q 3、Q 2、Q 1、Q 0输出为十进制(8421BCD 码);如时钟从CP 2引入,而Q 3接CP 1,即五进制的输出与二进制的输入相连,则Q 0、Q 3、Q 2、Q 1输出为十进制(5421BCD 码)。两种不同接法所

8

91011121213314

4567

GND

1S D 2CP

V CC

1R D 1D 1CP 1Q 2R D 1Q 2D 1S D

2Q 2Q

1S D

1CP 1D 1R D

2S D

2CP

2D 2R D

1Q

2Q

1Q

2Q

S S C11D R

R

2D C19

101112121331445678

1516

1CP GND

V CC 1K 1J 2S D 2J 2K 2CP 2Q

2Q 1Q 1R D 2R D 1Q 1S D

构成的十进制的输出状态如表2.7.4所示。要构成十以内的任意进制计数利用异步清零端或置9端均可实现。

表2.7.4 74LS90不同码制状态表

图2.7.5 74LS90的管脚图 图2.7.6 74LS90逻辑符号

表2.7.3 74LS90的功能表

例1.试用7倍,也就是最高位频率是外部脉冲频率的1/7。

实现方法一:输出为8421BCD 码,即外部计数脉冲从CP 1输入,Q 0与CP 2相连,Q 3

为最高位输出,用置数的方式实现七进制。所谓七进制,就是该计数器有七个有效循环状态,如不加反馈,74LS90共有十个状态,现可利用置9端P 1P 2,使计数器在(0101)状态后的下一个状态不是(0110)而是(1001),具体的实现方法只要把Q 1Q 2与置9端P 1P 2相连即可。当计数器计到6时,立即被置成9,而6(0110)是个过渡状态。状态表如表2.7.5所示,逻辑电路如图2.7.7所示。

图2.7.5 8421BCD 码置数方式七进制状态表

图2.7.7 用74LS90 置数方式构成的M=7逻辑图

8

91011121213314

4567

CP2R 2NC V CC P 1NC Q 0GND Q 1CP1Q 3Q 2

P 2

R 1Q 0P 1CT=0Q 3

Q 2Q 1R 2R 1CTR

CP 2

P 2

6CT=4

Z6

DIV2DIV5

6CT=1

&&

CP 1

2++CT

Q 0

P 1CT=0Q 3

Q 2

Q 1R 2R 1CTR

CP 2P 2

6CT=4

Z6

DIV2DIV5

6CT=1

&&

CP 1

2

++CT

“0”.

8421BCD 码

5421BCD 码

序号Q 3 Q 2 Q 1 Q 0 01234567890

0 0 0 0

0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 0 0 0

Q 0 Q 3 Q 2 Q 1

实现方法二:输出为5421BCD码,用异步清零的方式实现七进制。由于74LS90是分别由一个二进制和一个五进制构成的十进制计数器,如果外部计数脉冲从CP2输入,即先五进制,再把五进制的输出最高位Q3与二进制的输入端CP1相连,这样就构成了5421BCD码的十进制计数器。在此基础上进行反馈回零即可构成七进制计数器。实际连接时只要把Q0与Q2分别与清零端R1R2相连即可。这样,当计数器计到7(1010)时立即被清成0,而(1010)同样也是一个过渡状态。状态表如表2.7.6所示,电路图如图2.7.8所示。

表2.7.6 5421BCD码清零方式七进制状态表

图2.7.8 用74LS90 清零方式构成的M=7逻辑图图2.7.9是以上两种不同方法所实现的七进制计数器的最高位输出波形,从波形图上可以看出两种方法都实现了输出周期为外部脉冲的七倍,即频率为外部脉冲的1/7,而区别在于输出波形的占空比是不一样的。

图2.7.9 从高位输出的波形图

以上是M≤10的情况,若用74LS90构成模值大于十的计数器,要用两块以上芯片来实现。例如实现M=15的方法之一,可分别由一块三进制和一块五进制串联而成,其中第一块74LS90的输出Q12、Q11为三进制(00、01、10)输出,第二块74LS90的输出Q23、Q22、Q21为五进制(000、001、010、011、100)输出,把三进制最高位作为五进制的CP端相连,即构成了一个十五进制的计数器。连线图如图2.7.10所示。

图2.7.10 M为15的分频器电路图

Q0

P1

CT=0

Q3

Q2

Q1

R2

R1

CTR

CP2

P2

6CT=4

Z6

DIV2

DIV5

6CT=1

&

&

CP1

2

+

+

CT

.

“0”

.

P11

CT=0

Q12

Q11

R12

R11

CTR

CP12

P12

6CT=4

Z6

DIV2

DIV5

6CT=1

&

&

CP11

2

+

+

CT

P21

CT=0

Q23

Q22

Q21

R22

R21

CTR

CP22

P22

6CT=4

Z6

DIV2

DIV5

6CT=1

&

&

CP21

2

+

+

CT

“0”

.

.

.

“0”

.

74LS161是四位二进制的同步置数异步清零的加法计数器,此计数器可用其同步置数端和异步清零端构成十六以内任意进制计数器。74LS161的功能表如表2.7.7所示,管脚排列和逻辑符号如图2.7.11和图2.7.12所示。

表2.7.7 74LS161功能表

Q 0Q 3

Q 2Q 1R D

图2.7.11 74LS161的管脚图 图2.7.12 74LS161逻辑符号

例2.用74LS161实现M=12的计数器

实现方法一:用同步置数的方式构成十二进制计数器。被显示的数可以从0~15都可以。比如选择d 3d 2d 1d 0=0010,十二个状态如表2.7.8所示。反馈网络的方程为320LD Q Q

Q ,逻辑图如图2.7.13所示。注:D R 、ENP 和ENT 均接“1”。由状态表可以看出,计数器最高位Q 3的输出占空比为50%。

表2.7.8 M=12置数方式12种状态 R D 000

1“1”

“1”“1” 图2.7.13 用74LS161置数方式构成的M=12逻辑图

9

10111213

1

2314

45678

16

15

GND

CR

LD CP

ENT

O

O

Q CC

Q 0

Q 1Q 2Q 3D

0D 1D 2D 3ENP

D 0

D 1D 2D 3ENP V CC Q CC Q 0Q 1

Q 2Q 3ENT LD

CP CR

实现方法二:用异步清零法实现M=12的计数器。其反馈网络方程D R =32Q Q ,由于是异步清零,所以(1100)状态为过渡状态,计数器的十二个状态为(0000)~(1011),其状态表如表2.7.9所示,逻辑图如图2.7.14所示,图中LD 、ENP 和ENT 端均接“1”,与方法一相比较,计数器最高位Q 3输出的占空比不同。

表2.7.9 清零方式构成的十二进制状态表

R D

“1”“1”“1” 图2.7.14 用74LS161清零方式构成的M=12逻辑图

2.7.4 实验内容

(一)基础实验部分

1.J-K 触发器逻辑功能的测试

在双J-K 触发器74LS112中选定一个J-K 触发器,令它的D R =D S =1,J 、K 接逻辑开关,CP 接单脉冲源,Q 接指示灯,先使Q n =0(使用R D 端使触发器置“0”),再按表2.7.10改变J 、K 及CP ,观察指示灯,记录结果,再使Q n =1,同样按表2.7.10改变J 、K 及CP ,观察指示灯,记录结果。(注:JK 触发器下降沿有效)。

表2.7.10 JK 触发器功能测试表

2.用74LS90实现M=9和M=16的计数器,CP 接实验箱上的单脉冲信号,或接f=1~2Hz 的连续脉冲,输出Q 3、Q 2、Q 1、Q 0 从高到低依次接指示灯显示或者接实验箱上的数码显示输入D 、

C

、B 、A ,记录显示结果。结果正确,再用示波器的一个输入端接外部CP ,一个端口接最高位,观察其输出波形与输入波形之间的关系。(注:用示波器观察波形时CP 接1KHz 的脉冲信号)

要求:写出设计过程,以及记录实验结果,画出示波器所观察到的波形图,分析理论设

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

相关文档
最新文档