福州大学数字电子技术基础试题 (11)

福州大学数字电子技术基础试题 (11)
福州大学数字电子技术基础试题 (11)

福州大学数字电子技术基础试题D

(考试时间:120分钟)

班级: 姓名: 学号: 成绩:

一、填空题(共30分)

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。

2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于

______偏置和_______偏置。

3. 逻辑变量的异或表达式为:_____________________

B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。

5. 组合电路没有______功能,因此,它是由______组成。

6. 同步RS 触发器的特性方程为:Q n+1

=______,其约束方程为:_____

_。

7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___

个输入端,____输出端。

8. 下图所示电路中,Y 1 =______;Y 2 =______;Y 3 =_____

二、选择题(共 20分)

1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16

2. 逻辑函数D C B A F +=,其对偶函数F

*

为________。

A .()()

B ++ B. ()()D B ++ C. ()()

C A ++ 3. 用8421码表示的十进制数65,可以写成______。

A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式

中 。

A .与项的个数少

B . 每个与项中含有的变量个数少

C . 化简结果具有唯一

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。

A .C Y = B. ABC Y = C .C A

B Y += D .

C C B Y +=

1

A B

3

三、化简下列逻辑函数,写出最简与或表达式:(共20分)

1. 证明等式:AB B A B A B A +?=+

2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

四、分析设计题 (共 30分)

1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功

能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。

101130112011101101S A A D A A D A A D A A D Y ?++?+?=)(

201230122012101202S A A D A A D A A D A A D Y ?++?+?=)(

2.TTL触发器电路如图所示,试在CP信号的计数周期内,画出各输出端的波形。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

福州大学机械设计试题(共三套)

机械设计试题(A) 专业班级:11机械课程名称:机械设计 ) 在进行疲劳强度计算时,其极限应力应为材料的 3)强度极限 接触面宽度中线处最大接触应力 max 。 者 。 ) 变 4) 1. 在下列不同截面的杆中,哪些杆的表面 不可切制螺纹?

2)带-齿轮减速器 面则

2)在哪个点上带速等于带轮圆周速度? 3)在哪个点上带速小于带轮圆周速度? (本小题6分) 五、计算题(共35分) 1.单根V 带传递的最大功率kW P 82.4=,小带轮直径mm D 1801 =,大带轮直径min /1450,4001 2 r n mm D ==,小轮包角 1521 =α,带和带轮的当量摩擦系数25.0=v f ,试确定带传动的有效圆周力e F 和、紧边拉力1F 和张紧力0F 。(12分) 果栓

机械设计试题答案(A) ) 32) 圆 力 的 速

度 3)B 点上带速小于带轮圆周速度 五、计算题(共35分) 1.解: 共2页第2页 联 的一原N 。F F F N F F F N e e F F e e F F N v P F v F P s m n D v ec ec f f ec f f ec ec ec v v v v 2537527 .3526.551295.7272 7 .3526.55126.5511121 1 27.352666.1382.4100010001000666.131000 601450 18010006002010011=-=-==+=+==-+=-+==?==?==???=?-α αααππ得由得由

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

福州大学机械原理与机械设计(814)2013年考研专业课初试真题

试题编号:(机械原理与机械设计) 考生注意:本试卷共两部分,满分150分。考试时间为3小时; 所有答案均写在答题纸上(注明题号),在此答题 一律无效。 机械原理部分(75分) 一.选择题(共20分) 1. 在机械中,因构件作变速运动而产生的惯性力 。 (A)一定是驱动力; (B)一定是阻力; (C)在原动机中是驱动力,在工作机中是阻力; (D)无论在什么机器中,它都有时是驱动力,有时是阻力。 2. 齿轮的渐开线形状取决于它的 直径。 (A)齿顶圆; (B)分度圆; (C)基圆; (D)齿根圆。 3. 只有一个柱销的外槽轮机构,槽轮运动的时间和停歇的时间之比 。 (A)大于1; (B)等于1; (C)小于1 4. 为保证一对渐开线齿轮连续传动,应使实际啮合线长度 基圆齿距。 (A)大于等于; (B) 小于等于; (C)小于。 5. 增加斜齿轮传动的螺旋角,将引起 。 (A)重合度减小,轴向力增加; (B)重合度减小,轴向力减小; (C)重合度增加,轴向力减小; (D)重合度增加,轴向力增加。 6. 一对渐开线齿轮啮合传动时,两齿廓间 。 (A)保持纯滚动; (B)各处均有相对滑动; (C)除节点外各处均有相对滑动。 2013年福州大学硕士研究生入学考试试题 814

7. 当凸轮基圆半径相同时,采用适当的偏置式从动件可以 凸轮机构推程的压力角。 (A)减小; (B)增加; (C)保持原来 8. 齿轮经过正变位修正后,其分度圆同未修正时相比,是 。 (A)增大; (B)减少; (C)相同。 9. 蜗杆传动中心距计算公式为 。 (A )m(z 1+z 2) ( B )m(z 1+z 2)/2 (C )(d 1+d 2)/2 (D )(d 1+d 2) 10. 直动平底从动件盘形凸轮机构的压力角 。 (A )永远等于; (B )等于常数; (C )随凸轮转角而变化。二.判断题(10分) 1.选择凸轮机构的滚子半径 r T 时要考虑凸轮理论廓线曲率半径ρ。 ( ) 2.双摇杆机构是平面连杆机构的一种。( ) 3.凸轮机构从动件不能实现间歇运动。( ) 4.Ⅲ级机构中不存在Ⅱ级杆组。 ( ) 5.机构处于死点时传动角为00。 ( ) 6.斜齿轮传动的轴面重合度计算公式为εβ=Bsinβ/(πm t )。( ) 7.行星轮系的传动效率与轮系传动比无关。 ( ) 8.圆锥齿轮的当量齿数为zcosδ(δ为分度圆锥角)。 ( ) 9.采用变位齿轮的目的只是为了避免根切。 ( ) 10.机构具有确定运动的条件是:机构自由度等于1. ( )三.图示机构已知各杆长度, m/s 。 v A 11=试用相对运动图解法求构件3的角速度。( ω3要求:写出矢量方程式,绘出速度多边形,)(5分)

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

福州大学机械设计模拟试卷(共三套)

一、单项选择题(每小题2分,本大题共30分) 选择正确答案的字母,填入下列对应的题号上 1.机械的动力来源于 A. 工作机 B. 传动部件 C. 原动机 D. 控制部分 2.若被联接件之一厚度较大、材料较软、强度较低、需要经常装拆时,宜采用 A. 螺栓联接 B. 双头螺柱联接 C. 螺钉联接 D. 紧定螺钉联接 3、带传动中紧边拉力为F1,松边拉力为F2,则其传递的有效圆周力为 A. F1+F2 B. (F1-F2)/2 C. F1-F2 D. (F1+F2)/2 4.链传动 A.平均传动比为恒定值 B.瞬时传动比为恒定值 C.平均传动比和瞬时传动比均为恒定值 5.与标准直齿圆柱齿轮的应力校正系数Ysa值有关的参数是 A.工作齿宽b B.模数m C. 齿数z D.压力角a 6、斜齿圆柱齿轮的螺旋角β偏大,会使 A. 作用到轴承上的轴向力过大 B. 传动不平稳 C. 承载能力下降 D. 抗弯能力下降 7.斜齿圆柱齿轮传动中“1”轮主动,螺旋线方向为右旋,当其顺时针方向回转时,主动轮轴向力F al 指向如图所示。 8、蜗杆传动的中间平面是指 A. 过蜗轮轴线并与蜗杆轴线垂直的平面 B. 过蜗杆轴线并与蜗轮轴线垂直的平面 C. 过蜗杆轴线的任一平面 D. 过蜗轮轴线的任一平面 9.为了减小轴的应力集中,提高轴的疲劳强度,应使轴的 A. 阶梯处过渡圆角尽量小 B. 阶梯处过渡圆角尽量大 C. 阶梯尽量多 D. 相邻阶梯的直径差尽量大 10.平键工作时,主要承受应力。 A.拉和弯曲 B.弯曲和剪切 C.挤压和剪切 D.剪切和拉

11.柴油机曲轴中部的轴承应采用 A.整体式滑动轴承 B.剖分式滑动轴承 C.深沟球轴承 D.圆锥滚子轴承 12.下列材料中,不能作为滑动轴承轴瓦或轴承衬的材料 A. 灰铸铁 B. 铸锡青铜 C. 轴承钢 D. 铸铝青铜 13.型号为的滚动轴承,其内径d = 30mm。 A. 1306 B. 1315 C. 1330 D. 1310 14.在良好的密封和润滑条件下,滚动轴承的主要失效形式是 A. 塑性变形 B. 胶合 C. 磨损 D. 疲劳点蚀 15.联轴器是弹性联轴器的一种。 A. 凸缘 B. 齿轮 C. 万向 D. 尼龙柱销 二、填空题(每空1分,本大题共12分) 答案直接填写在试题中指定的位置(下划线上方) 1.装配时,螺母被拧紧的联接,称为联接。 2.设计V带传动时,根据计算功率P C和小带轮的确定V带型号。 3.在链传动中,在满足传递载荷的情况下,应尽量取较的节距。 4.在一对齿轮啮合时,其大小齿轮接触应力值的关系是。 5.可以用增大齿轮直径或提高齿面硬度的方法,来提高轮齿的疲劳强度。 6.闭式软齿面齿轮传动的计算准则是先按疲劳强度设计,再按弯曲疲劳强度校核。 7.对于开式齿轮传动,根据弯曲疲劳强度设计出模数后,再将其值适当加大,主要考虑轮齿的。 8.蜗杆传动的效率包括三部分,其中起主要作用的是的摩擦损耗效率。 9.轴的简易计算中,初估最小轴径的计算公式为_______________。 10.滑动轴承轴瓦上的油沟应开在_______________。 11.有一滚动轴承的代号为72207,该轴承的类型是___________________。 12.联轴器型号是根据计算转矩、转速和从标准中选取的。 三、分析计算题(本大题共50分) (解题步骤按题目顺序填写在答题纸上) 14个螺栓与立柱 相联接,托架所承 受的最大载荷为20 KN。请回 答下列问题 (1)本例属于何种类型的 螺栓组连接?这样的连接可采 用何种类型的螺栓连接?

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

福州大学机械设计试卷(A)

一、单项选择题(每小题2分,本大题共30分) 选择正确答案的字母,填入下列对应的题号上 1.机械的动力来源于 A. 工作机 B. 传动部件 C. 原动机 D. 控制部分 2.若被联接件之一厚度较大、材料较软、强度较低、需要经常装拆时,宜采用 A. 螺栓联接 B. 双头螺柱联接 C. 螺钉联接 D. 紧定螺钉联接 3、带传动中紧边拉力为F 1,松边拉力为F 2,则其传递的有效圆周力为 A. F 1+F 2 B. (F 1-F 2)/2 C. F 1-F 2 D. (F 1+F 2)/2 4.链传动 A .平均传动比为恒定值 B .瞬时传动比为恒定值 C .平均传动比和瞬时传动比均为恒定值 5.与标准直齿圆柱齿轮的应力校正系数Ysa 值有关的参数是 A .工作齿宽b B .模数m C. 齿数z D .压力角a 6、斜齿圆柱齿轮的螺旋角β偏大,会使 A. 作用到轴承上的轴向力过大 B. 传动不平稳 C. 承载能力下降 D. 抗弯能力下降 7.斜齿圆柱齿轮传动中“1”轮主动,螺旋线方向为右旋,当其顺时针方向回转时,主动轮轴向力F al 指向如图 所示。 8、蜗杆传动的中间平面是指 A. 过蜗轮轴线并与蜗杆轴线垂直的平面 B. 过蜗杆轴线并与蜗轮轴线垂直的平面 C. 过蜗杆轴线的任一平面 D. 过蜗轮轴线的任一平面 9 .为了减小轴的应力集中,提高轴的疲劳强度,应使轴的 A. 阶梯处过渡圆角尽量小 B. 阶梯处过渡圆角尽量大 C. 阶梯尽量多 D. 相邻阶梯的直径差尽量大 10.平键工作时,主要承受 应力。 A .拉和弯曲 B .弯曲和剪切 C .挤压和剪切 D .剪切和拉

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础试卷

数字电子技术基础试卷(本科)及参考答案 试卷一及其参考答案 试卷一 一、(20分)选择填空。从每个小题的四个选项中选出一个正确答案,并将其编号填入该题后的括号中。 1.十进制数3.625的二进制数和8421BCD码分别为() A.11.11 和11.001 B.11.101 和0011.011000100101 C.11.01 和11.011000100101 D.11.101 和11.101 2.下列几种说法中错误的是() A.任何逻辑函数都可以用卡诺图表示。B.逻辑函数的卡诺图是唯一的。 C.同一个卡诺图化简结果可能不是唯一的。D.卡诺图中1的个数和0的个数相同。3.和TTL电路相比,CMOS电路最突出的优点在于() A.可靠性高B.抗干扰能力强 C.速度快D.功耗低 4.为了把串行输入的数据转换为并行输出的数据,可以使用() A.寄存器B.移位寄存器 C.计数器D.存储器 5.单稳态触发器的输出脉冲的宽度取决于() A.触发脉冲的宽度B.触发脉冲的幅度 C.电路本身的电容、电阻的参数D.电源电压的数值 6.为了提高多谐振荡器频率的稳定性,最有效的方法是() A.提高电容、电阻的精度B.提高电源的稳定度 C.采用石英晶体振荡器C.保持环境温度不变 7.已知时钟脉冲频率为f cp,欲得到频率为0.2f cp的矩形波应采用() A.五进制计数器B.五位二进制计数器 C.单稳态触发器C.多谐振荡器 8.在图1-8用555定时器组成的施密特触发电路中,它的回差电压等于()A.5V B.2V C.4V D.3V 图1-8

二、(12分)已知输入信号A、B、C的波形,试画出图2所示各电路输出(L1、L2、L3) A B C 1 图2 三、(10分)如图3所示,为检测水箱的液位,在A、B、C、三个地方安置了三个水位检测元件,当水面低于检测元件时,检测元件输出低电平,水面高于检测元件时,检测元件输出高电平。试用与非门设计一个水位状态显示电路,要求:当水面在A、B之间的正常状态时,仅绿灯G亮;水面在B、C 间或A以上的异常状态时,仅黄Y灯亮;水面在C以下的危险状态时,仅红灯R亮。 A B C 图3 四、(120。 CP 图4

相关文档
最新文档