数电实验四 双稳态触发器

数电实验四  双稳态触发器
数电实验四  双稳态触发器

实验四 双稳态触发器

一、实验目的

1.熟悉并验证触发器的逻辑功能和触发方式。

2.掌握集成JK 和D 触发器的使用方法和逻辑功能的测试方法。 3.掌握用JK 或D 触发器组成分频器的方法。 二、实验原理及实验资科

触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即"0"和"1",在适当触发信号作用下,触发器的状态发生翻转,即触发器可由一 个稳态转换到另一个稳态.当输入触发信号消失后,触发器翻转后的状态保持不变(记忆功能)。

根据电路结构的不同,触发器的触发方式不同,有电平触发,主从触发和边沿触发。根据功能的不同,触发器有RS 触发器,JK 触发器,D 触发器,T 触发器,T ′触发器等类型。集成触发器的主要产品是JK 触发器和D 触发器,其他功能的触发器可由JK, D 触发器进行转换。电路结构和触发方式与功能无必然联系。比如JK 触发器既有主从式的,又有边沿式的,而主从触发器和边沿触发器都有RS 、JK 、D 触发器。

1.带清除和预置端的高速CMOS 双JK 负沿触发器CC74HC112(74HC112)

(1) 功能如表5-1所示。 (2) 外引线排列见图5-3。

2.带清除和预置端的TTL 维持一阻塞双D 触发器74LS74 (1) 功能见表5-2。 (2)

5-2。

表5-1 74HC112功能表

图5-3 74HC112外引线排列图

表5-2 74LS74 功能表

三、实验内容与步骤 (一)JK 触发器74112 1.复位、置位功能

1)将74112芯片的J 端、K 端、R D 和S D 端各接到实验箱的一个“0”、“1”电平开关上;CP 接到实验箱的常"1"单次脉冲按钮开关

上; Q 和Q 各接到一个电平指示灯上。后续表格如无特别说明,输入端和输出端的接法同上。接通芯片电源,操作电平开关,完成表5-3规定的实验内容。注意,在做表中第5行实验时,先将R D 和S D 接到同一个“0”、“1”电平开关上。操作完成后恢复原来的接线。记录时对第3~5行可作简要的文字说明。 2)测量Q 、Q 端V OH 和V OL 的值

表5-3 74112复位、置位功能

2.逻辑特性

接线同1。操作电平开关和单次脉冲按钮开关,完成表5-4规定的实验内容,其中Q n 状态通过操作R D 和S D 的电平开关实现。实验时注意这些开关的操作顺序,并观察Q n+1的出现对应CP 脉冲的哪一个边沿(上升还是下降沿),作好记录。

图5-2 74LS74外引线排列图

表5-4 74112逻辑功能

CM0S电路实验注意事项:

·实验者的双手应在实验前放电(例如在暖气管道或水管上放电),并避免在实验过程中触摸干燥织物。

·接线、拆线必须切断电源进行。

·实验操作时,应先接通实验芯片的电源后接通信号源;先断开信号源后断开电源。最坏情况是电源和信号源二者同时通断,但无论如何也不能颠倒操作次序。

·实验仪器设备应有良好接地。

(注:若实验室没有HC112,也可用74HC74双D触发器。74HC74的外引线排列和逻辑功能分别见图5-2和表5-2)

(二)TTL双D触发器74LS74

1.复位、置位功能

将芯片中一个触发器的R D、S D和D端各接到实验箱的一个“0”、“1”电平开关上,CP 端接到实验箱的常"0"按钮开关Q和Q各接到一个电平指示灯上。接通芯片电源。

操作电平开关,仿照表5-3,完成D触发器的复位、置位实验。用万用表测Q、Q端的V0H和V0L值。

2.逻辑特性

接线同1。操作电平开关和按钮开关,完成表5-5规定的实验内容。其中Q n的状态通过操作R D或S D的电平开关来实现。实验时注意这些开关的操作顺序,并观察Q n+1的出现对应CP脉冲的哪一个边沿(上升沿还是下降沿),作好记录。

表5-5 74LS74逻辑功能

3.二分频

将CP端改接到实验箱的连续脉冲信号源上,断开D端与电平开关的连线,再将D端与Q端连接,其它端子的接线与1相同,仿照74112的二分频实验,在R D=“0”、S D=“1”;R D=“1”、S D=“0”和R D=“1”、S D=“1”三种情况下,用双踪示波器分别观察CP和Q波形(CP 频率约10KH Z),作波形记录时同时测出Q信号的幅度(或电平)值。

选用Q信号作示波器内触发信号,示波器"输入耦合方式"开关置"DC"挡位。

四、实验报告

1.实验预习

(1)详细阅读实验指导讲义,了解实验目的、内容和要求。

(2)熟悉触发器的逻辑功能和触发方式。

(3)熟悉触发器功能测试表格。

(4)完成预习报告。

2.实验结果及数据处理

(1)整理实验记录,完成74112和7474的复位、置位表以及逻辑特性表。并根据所测结果,总结74112触发器和7474触发器的特点。

(2)画出二分频实验波形图(在波形图上标上测得的有关参数)。

3.思考题:

(1)触发器的基本性质是什么?

(2)什么是电平触发?什么是边沿触发?基本RS触发器的约束条件是什么?基本RS 触发器与JK、D触发器的区别是什么?

(3)触发器复位、置位的正确操作方法是什么?触发器实现正常逻辑功能时,其复位、

置位端应处于什么逻辑状态?

(4)JK触发器实现二分频工作时,其J、K端应处于什么逻辑状态?还有其它连接方式可供选择吗?举例说明。

(5)如何用D 触发器构成4分频器和8分频器?画出电路连接图。

实验四 触发器实验

数字电路与逻辑设计实验 ——触发器实验 姓名:李文科 学号:20131060044 学院:信息学院 专业:计算机科学与技术 指导教师:陈志坚 2014年11月22日

一、 实验目的 1. 熟悉并掌握RS 、D 、JK 触发器的构成,工作原理和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能触发器FF 相互转换的方法。 二、 实验仪器及材料 1. 双踪示波器 2. 器件: 74LS00 二输入端四“与非”门 1片 74LS74 双D 触发器 1片 74LS112 双JK 触发器 1片 三、 实验内容 1. 基本RS 触发器(RS-FF )功能测试 两个TTL 与非门首尾相接构成的基本RS-FF 的电路如图4.1所示。 (1) 试按下面的顺序在S d ???、R d ????端加信号: S d ???=0 R d ????=1 S d ???=1 R d ????=1 S d ???=1 R d ????=0 S d ???=1 R d ????=1 观察并记录FF 的Q 、Q ?端的状态,将结果填入表4.1中,并说明在上述各种输入状态下,FF 执行的是什么功能? (2) S d ???端接低电平,R d ????端加脉冲。 Q =1, Q ?=R d ???? (3) S d ???端接高电平,R d ????端加脉冲。 Q =0,Q ?=1 (4) 连接S d ???、R d ????,并加脉冲。 图4.1:基本RS-FF 电路 表4.1

Q= Q ?=1 记录并观察(2)、(3)、(4)三种状态下,Q ,Q ?,端的状态。从中你能否总结出RS-FF 的Q 或Q ?端的状态改变和输入端S d ???、R d ????的关系。 S d ???=0 R d ????=1 置Q=1 S d ???=1 R d ????=1 保持 S d ???=1 R d ????=0 置Q=0 (5) 当S d ???、R d ????都接低电平时,观察Q ,Q ?端的状态。当S d ???、R d ????同时由低电平跳为高电平时,注意观察Q ,Q ?端的状态,重复3-5次看Q ,Q ?端的状态是否相同,以正确理解“不定”状态的含义。 2. 维持阻塞型D-FF 功能测试 双D 型正边沿维持阻塞型触发器74LS74的逻辑符号如图4.2所示。图中S d ???、R d ????端为异步置1端、置0端(或称异步置位复位端)。CP 为时钟脉冲。 试按下面的步骤做实验: (1) 分别在S d ???、R d ????端加低电平,观察并记录Q , Q ?端的状态。 (2) 令S d ???、R d ????端为高电平,D 端分别加高、低电平,用单脉冲作为CP ,观察并记录当CP 为L 、↑、H 、↓时,Q 端状态的变化。 (3) 当S d ???=R d ????=H 、CP=0(或CP=1),改变D 端信号,观察Q 端的状态是否变化? 整理上述的实验数据,将结果填土表4.2中。 (4) 令S d ???=R d ????=H ,将D 和端相连,CP 加连续脉冲,用双踪示波器观察并在图4.3中记录Q 相对于CP 的波形。 图4.2:D-FF 符号 表4.2

数电实验报告:实验3-触发器

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验3:触发器逻辑功能测试及应用 一、实验目的 1、掌握集成触发器的逻辑功能及使用方法 2、熟悉触发器之间相互转换的方法 二、实验内容及步骤 1、测试双JK 触发器74LS112逻辑功能。 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK 触发器,是下降边沿触发的边沿触发器。JK 触发器的状态方程为Q * =J Q +K Q (1)JK 触发器74LS112逻辑电路引脚图如下: 图1 (2)测试复位、置位功能,将测试结果填入表1。 表1 (3)触发功能测试,按表2要求测试JK 触发器逻辑功能。 表2 GDOU-B-11-112

(4) 根据图 2逻辑图将JK 触发器分别连接成T 触发器和T ′触发器,并通过做实验进行验证。 注释:T 触发器的逻辑功能:当T =0时,时钟脉冲作用后,其状态保持不变;当T =1时,时钟脉冲作用后,触发器状态翻转。如果将T 触发器的T 端置“1”,即得T'触发器。在T'触发器的CP 端每来一个CP 脉冲信号,触发器的状态就翻转一次,故称之为反转触发器,广泛用于计数电路中。 图2 2、测试双D 触发器74LS74的逻辑功能 在输入信号为单端的情况下,D 触发器用起来最为方便,其状态方程为 Q * =D ,其输出状态的更新发生在CP 脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D 端的状态,D 触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。 (1)D 触发器74LS74逻辑电路引脚图3所示。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

数电实验三综述

湘潭大学实验报告 课程名称数学逻辑与数字电路实验名称时序电路实验——计数器和移位寄存器_ 页数 6 专业计算机科学与技术班级_ 二班_ 学号2014551442 姓名肖尧实验日期_ 2016/5/14_ 一、实验目的 1.验证同步十六位计数器的功能。 2.设计一个8位双向移位寄存器,理解移位寄存器的工作原理,掌握串入/并出端口控制的描述方法。 3.进一步熟悉Quartus II的Verilog HDL文本设计流程,掌握组合电路的设计仿真和硬件测试。 4.初步掌握Quartus II基于LPM宏模块的设计流程与方法,并由此引出基于LPM模块的许多其他实用数学系统的自动设计技术。 二、实验要求 1.用Quartus II的Verilog HDL进行计数器的设计与仿真 2.用LPM宏模块设计计数器。 3.用Quartus II的Verilog HDL进行8位双向移位寄存器设计 4.在实验系统上进行硬件测试,验证这两个设计的功能。 5.写出实验报告。 三、实验原理 计数器能记忆脉冲的个数,主要用于定时、分频、产生节拍脉冲及进行数字运算等。加法计数器每输入一个CP脉冲,加法计数器的计数值加1.十六进制计数即从0000一直计数到1111;当计数到1111时,若再来一个CP脉冲,则回到0000,同时产生进位1。 同步十六进制计数器设计采用if-else语句对计数器的输出分别进行赋值,能实现对输入脉冲的计数,并具有使能和异步清零功能。 移位寄存器不仅具有存储代码的功能,而且在移位脉冲作用下,还有左移、右移等功能。设计一个8位二进制双向移位寄存器,能实现数据保持、右移、左移、并行置入和并行输出等功能。移位寄存器有三种输入方式:8位并行输入、1位左移串行输入、1位右移串行输入;有一种输出方式:8位并行输出。双向移位寄存器工作过程如下: (1)当1位数据从左移串行输入端输入时,首先进入内部寄存器最高位,并在并行输出口最高位输出,后由同步时钟的上升沿触发向左移位。 (2)当1位数据从右移串行输入端输入时,首先进入内部寄存器最低位,并在并行输出口最低位输出,后由同步时钟的上升沿触发向右移位。 四、实验内容 1.利用Quartus II完成计数器、8位双向移位寄存器的文本编辑输入和仿真测试,给出仿真波形。 2. 用LPM宏模块设计计数器 3.给他们进行引脚锁定,然后硬件下载测试。 五、实验环境与设备 Quartus II以及进行硬件测试的实验箱。 六、实验代码设计(含符号说明)

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

实验报告四 MYSQL存储过程与触发器

计算机科学系实验报告 实验要求: (在导入的教学管理STM数据库中完成): 1、基本储存过程的创建 ①创建一存储过程get_student_num,利用输出参数形式获取学生人数信息。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`get_student_num`(OUT count_num CHAR(10)) BEGIN SELECT COUNT(sno) INTO count_num FROM student; END$$ DELIMITER ; CALL get_student_num(@count_num) SELECT @count_num

②创建一存储过程get_student_by_sno,通过输入学生编号作为参数,获得该学生的记录信息。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`get_student_by_sno`(IN sno_in CHAR(10)) BEGIN SELECT *FROM student WHERE sno = sno_in; END$$ DELIMITER ; CALL get_student_by_sno('900262') ③创建一存储过程update_sage_by_sno,通过输入学生编号、年龄作为参数,将指定学生的年龄更改为指定的年龄。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`update_sage_by_sno`(IN sno_in CHAR(13) ,sage_in INT) BEGIN UPDATE student SET sage=sage_in WHERE sno=sno_in; END$$ DELIMITER ; CALL update_sage_by_sno('900125',20) ④创建一存储过程delete_student_by_sno,通过输入学生编号作为参数,删除该学生记录。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`delete_student_by_sno`(IN sno_in CHAR(10)) BEGIN DELETE FROM student WHERE sno=sno_in; END$$ DELIMITER ; CALL delete_student_by_sno('900106') ⑤创建一存储过程insert_student,通过输入相关信息作为参数,向学生表中添加一学生记录。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`insert_student`(IN snox CHAR(10),snamex VARCHAR(10),ssexx VARCHAR(1),sagex SMALLINT(5),enterdatex DATETIME) BEGIN INSERT INTO student (sno,sname,ssex,sage,enterdate) VALUES (snox,snamex,ssexx,sagex,enterdatex); END$$ DELIMITER ; CALL insert_student('900104','里斯','男',21,'2010-09-12')

实验四 D触发器及其应用

实验四D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱 2、数字双踪示波器 3、函数信号发生器 4、集成电路:74LS00 5、集成电路:74LS74 74LS74 ?74LS74:双D触发器(上升沿触发的边沿D触发器) ?引脚的定义: 三.实验原理 时序逻辑电路: ?1、时序逻辑电路:任一时刻的输出信号不但取决于当时的输入信号,而且还取决于 电路原来的状态,与以前的输入有关。 ?2、同步时序电路 ?3、异步时序电路 D触发器 ? 1 、触发器:一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最

基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。 2、D触发器在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于 CP脉冲上升沿到来之前D端的状态。 四、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 2、实现如图所示时序脉冲(74LS74和74LS00各1片) 五.实验结果 1.用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 在CP1端加入1KHz,峰峰值为5.00V,平均值为2.50V的连续方波,并用示波器观察CP,1Q,2Q各点的波形 得到的二分频波形结果为:

得到的四分频结果为: 2、实现如图所示时序脉冲(74LS74和74LS00各1片)

2. 特征方程 3. 电路图 +1101+101 ' 10 ' =====n n n n n n Q Q D Q Q D F Q Q F F CP =?

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1” 段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0(=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器

在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。 图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 X1 2.5 V A B C D U1A 74LS00N U2A U3A 74LS00N 逻辑指示灯:灯亮表示“1”,灯灭表示“0” ABCD按逻辑开关,“1”表示高电平,“0”表示低电平 自拟表格并记录: A B C D Y A B C D Y 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 1 1 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 0 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,

开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? A B C D ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。

实验四 基本RS触发器和D触发器

实验四基本RS触发器和D触发器 一、实验目的 1.熟悉并验证触发器的逻辑功能; 2.掌握RS和D触发器的使用方法和逻辑功能的测试方法。 二、实验预习要求 1.预习触发器的相关内容; 2.熟悉触发器功能测试表格。 三、实验原理 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。 1.基本RS触发器 图实验4.1 基本RS触发 器 图实验4.1为由两个与非门交叉耦合构成的基本RS触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1”端,因为=0时触发器被置“1”;端为置“0”端,因为=0时触发器被置“0”;当 = =1时,触发器状态保持。基本RS触发器也可以用两个“或非门”组成,此时为高电平有效置位触发器。 2. D触发器

D 触发器的状态方程为:Qn+1=D。其状态的更新发生在CP脉冲的边沿,74LS74(CC4013)、74LS175(CC4042)等均为上升沿触发,故又称之为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态。D触发器应用很广,可用做数字信号的寄存、移位寄存、分频和波形发生器等。 四、实验仪器设备 1、TPE-AD数字实验箱1台 2、双D触发器74LS74 2片 3、四两输入集成与非门74LS00 1片 4、双通道示波器 1台 五、实验内容及方法 1.测试基本RS触发器的逻辑功能 按图实验4.1连接电路,用两个与非门组成基本RS触发器,输入端、接逻辑开关的输出口,输出端Q、接逻辑电平显示灯输入接口,按表实验4.1的要求测试并记录。 表实验4.1 RS触发器的逻辑功能 1 10 1 0 0 1 1 0 10 1 0 1 0 1 0 1 0 0 1 1 2.测试D触发器的逻辑功能。 (1)测试、的复位、置位功能。

数电实验 计数器电路

实验5 计数器实验电路 1实验目的 1.1掌握计数器的工作原理及特性 1.2采用触发器及集成计数器构成任意进制计数器 2实验仪器与元器件 2.1实验仪器 数字电路实验箱、数字万用表、示波器 2.2芯片 74LS00/74ls04 74LS48 74LS161 共阴数码管电位器电阻等其它元件若干 3预习要求 3.1 预习计数器相关内容。 3.2 作出预习报告。 4实验原理 计数器是用来实现计数功能的时序部件,它能够计脉冲数,还可以实现定时、分频、产生节拍脉冲和脉冲序列等。计数器的种类很多,按时钟脉冲输入方式的不同,可以分为同步计数器和异步计数器。按进位体制不同,可以分二进制和非二进制计数器。按计数的增减趋势,可分加法或减法计数器等。目前,无论是TTL还是CMOC集成电路,都有品种齐全的中规模集成计数电路。作为使用者可以借助器件手册提供的功能表和工作波形以及引脚分布图,就能正确地使用这些器件。 4.1异步计数器

异步计数器是指计数脉冲不是直接加到所有触发器的时钟脉冲端。这样, 当一个计数脉冲作用后,计数器中某些触发器的状态发生变化,而其它触发器保持原来状态,即计数器中各触发器状态的更新与输入时钟脉冲异步。 在设计模为整数N 的异步计数器时,如果K N 2=,则为二进制计数器,例 如设计一个4位二进制计数器,1624==N ,K=4,用4个触发器级联即可。如果N 不等于2的整次幂,则是非二进制计数器,这时,可将N 写N=1*2N K 其中1N 为奇数,这样由模为K 2和模为1N 的两个计算器级联而成,其中模为1N 的计数器通常用反馈的方法构成.例如设计一个异步十进制计数器,可令 K 2=12,1N =5,就是用一个模2计数器和一个模5计数器级联.图7.1所示集成 接在各位触发器的时钟脉冲输入端,当计数脉冲来到时,应该翻转的触发器在同一时刻翻转。因此,同步计数器的工作速度比异步计数器快。同步计数器的设计可按“状态表+卡诺图+写出各触发器控制输入端的逻辑方程”,进行,然后画出逻辑电路。也可以根据状态表中各触发器输出的变化规律,直接写出各触发器控制输入端的逻辑方程,最后画出逻辑电路图。例如设计一个同步十进制加法计数器,其状态转换表如表7.1所示。采用双JK 触发器74LS76,通过分析状态转换表,可得到各触发器控制输入端的逻辑方程如下。

实验四 触发器 实验报告

实验四触发器实验报告 徐旭东 11180243 物理112班 一、实验目的 1. 熟悉并掌握R-S、D、J-K触发器的特性和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片 三、实验内容步骤及记录 1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。

(1)试按下面的顺序在S R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 d S =1 d R =1 观察并记录触发器的Q 、Q _ 端的状态,将结果填入 下表4.1中,并说明在上述各种输入状态下,RS 执行的是什么逻辑功能? 表4.1 d S d R Q 逻辑功能 0 1 1 1 1 1 0 1 1 1 0 0 0 0 1 1 置1 保持 置0 保持 (2)当d S 、d R 都接低电平时,观察Q 、Q _ 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q _ 端的状态,重复3~5次看Q 、Q _ 端的状态是否相同,以正确理解“不定” 状态的含义。 结论: 当d S 、d R 都接低电平时,Q 和Q _ 端的状态不定。 2. 维持- 阻塞型D 触发器功能测试 双D 型正边沿维持-阻塞型触发器74LS74的逻辑符号如图4.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位端),CP 为时钟脉冲端。试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录Q 、Q _ 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉 冲作为CP ,观察并记录当CP 为0、 、1、 时Q 端状态的变化。 图4.1 基本RS 触发器电 图4.2D 逻辑符号

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

数电实验计数器电路

数电实验计数器电路 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

实验5 计数器实验电路 1实验目的 掌握计数器的工作原理及特性 采用触发器及集成计数器构成任意进制计数器 2实验仪器与元器件 实验仪器 数字电路实验箱、数字万用表、示波器 2.2 芯片 74LS00/74ls04 74LS48 74LS161 共阴数码管 电位器 电阻等其它元件若干 3预习要求 预习计数器相关内容。 作出预习报告。 4实验原理 计数器是用来实现计数功能的时序部件,它能够计脉冲数,还可以实现定时、分频、产生节拍脉冲和脉冲序列等。计数器的种类很多,按时钟脉冲输入方式的不同,可以分为同步计数器和异步计数器。按进位体制不同,可以分二进制和非二进制计数器。按计数的增减趋势,可分加法或减法计数器等。目前,无论是TTL 还是CMOC 集成电路,都有品种齐全的中规模集成计数电路。作为使用者可以借助器件手册提供的功能表和工作波形以及引脚分布图,就能正确地使用这些器件。 异步计数器 异步计数器是指计数脉冲不是直接加到所有触发器的时钟脉冲端。这样,当一个计数脉冲作用后,计数器中某些触发器的状态发生变化,而其它触发器保持原来状态,即计数器中各触发器状态的更新与输入时钟脉冲异步。 在设计模为整数N 的异步计数器时,如果K N 2=,则为二进制计数器,例如设计一个 4位二进制计数器,1624==N ,K=4,用4个触发器级联即可。如果N 不等于2的整次幂,则是非二进制计数器,这时,可将N 写N=1*2N K 其中1N 为奇数,这样由模为K 2和模为1N 的两个计算器级联而成,其中模为1N 的计数器通常用反馈的方法构成.例如设计一个异步十进制计数器,可令K 2=12,N =5,就是用一个模2计数器. T '触发器,+写出各触发

数电实验三加法器

实验三一.实验目的 1.掌握全加器的工作原理与逻辑功能。 2.掌握全加器的应用。 二.实验设备及器材 数字电路实验箱稳压电源 74LS00 CD4008B 三.实验原理 全加器是中规模组合逻辑器件,它实现二进制数码的加法运算。 表1 全加器真值表 CD4008B为四位加法器,其逻辑符号如图2,A3、A2、A1、A0和B3、B2、B1、B0为两个四位二进制数,CI为进位输入端,CO为进位输出端。 图2

全加器主要用于数值运算,i位全加器可以实现两个i位二进制数的加法运算。另外,全加器也可以实现组合逻辑函数,如用全加器实现四位二进制数向BCD 码的转换。 四.实验内容 1.按照全加器真值表,利用逻辑电平产生电路及逻辑电平指示电路验证CD4008B的逻辑功能,画出测试电路图。 A3、A2、A1、A0和B3、B2、B1、B0为两个四位二进制数:加数和被加数,CIN为进位输入,S3、S2、S1、S0为输出的和,CON为进位输出端。 2.连接 B/BCD码转换电路,验证其实验结果是否与真值表一致。 二进制码转换为BCD码时,9以前即0000—1001,二进制数B和BCD码二者相等。但九以后,即1010—1111,需要给B加6(0110)才能和BCD码在数值上相等。因此利用四位全加器实现转换时,以四位二进制数作为被加数,而加数在四位二进制数为0000—1001时为0000,为1001—1111时为0110,这样就可实现

B/BCD 的转换。 图3 B/BCD码转换电路 验证得其实验结果与真值表一致 3.设计电路,完成1位十进制数的相加运算,使实现7+9=,6+4=,和3+2=,并用数码管显示电路。 可得图四真值表: 加数二进制 码被加数二进 制数码 二进制的和十进制的和进位 0010 0011 0101 5 0 0100 0110 1010 10 0 0111 1001 0000 16 1 图四真值表 实验结果:数码管显示电路图如下

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

实验四-模10计数器与20分频电路

●实验名称:利用VerilogHDL设计一个模10加法计数器和一个时钟20分频电路 ●实验目的: 1.熟悉用可编程器件实现基本时序逻辑电路的方法。 2.了解计数器的Verilog描述方法,以及偶数分频的思路与原理。 ●预习要求: 1.回顾数字电路中加法计数器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语 言。 2.加法计数器表示随着时钟脉冲的输入,计数器从0开始正向计数,直到计满规定的 模值后归零,然后依次循环计数。模10计数器表示,计数器从0000~1001循环计 数。 3.时钟分频电路的功能是,对输入的时钟频率进行偶数倍的降频(倍增其周期),20 分频意味着分频后产生的新时钟周期是输入时钟的20倍(频率降为原频率1/20)。 ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.用VerilogHDL语言方式编写一个模10加法计数器cnt_10。 3.对此计数器模块进行编译和仿真。 4.用VerilogHDL语言方式编写一个20分频模块fenpin_20,对输入时钟进行20分频 处理。 5.对此分频电路进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据: 1. 模10加法计数器cnt_10的V erilog代码:

2. 模10加法计数器cnt_10的仿真波形: 3. 10分频模块fenpin_10的Verilog代码: 4. 10分频模块fenpin_10的仿真波形:

实验四 实验4 VHDL语言进行简单时序电路——一 JK触发器的设计

实验4 VHDL语言进行简单时序电路——一JK触发器的设计一、实验目的 学习在QuartusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。 二、验仪器设备 1、PC机一台 2、QuartusⅡ。 三、实验要求 1、预习教材中的相关内容,编写出JK触发器的VHDL源程序。 2、用VHDL语言输入方式完成电路设计,编译、仿真。 四、实验内容及参考实验步骤 一、设计输入 1、开机,进入QuartusⅡ。 2、为本工程设计建立一个文件夹。 3、建立设计文件。选择File菜单之New项,选择文件类型,本设计选择VHDL File。建立一个文本编辑文件 4、输入源程序, 保存文件。注意,必须保存为vhd类型,且文件名与源程序的 实体名相同。 二、创建工程并编译 1、创建一个新的工程,将多路选择器文件加入工程。 2、编译。点击Start Compilation按钮进行编译。如果发现错误,改正后再次编 译。 三、仿真 1、建立波形文件。选择File菜单之New项,选择Other Fles中的V ector Waveform File文件类型,建立一个波形文件 2、设定仿真时间。选择菜单Edit的End Time ….项设定仿真时间域。例如1us. 3、输入端口信号。选择菜单View的Utility Windows项的Node Finder选项, 在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。 4、编辑输入波形。在输入端口加上适当的信号,以便在输出端进行观察。 5、保存文件。

6、进行仿真。点击Start Simulation按钮进行仿真。 7、观察分析波形。观察仿真结果,并进行波形分析,看是否与设计相符。 五、实验报告 1、根据实验过程写出试验报告 2、总结用VHDL语言的设计流程 3、总结时序电路的设计方法。 附录 JK触发器VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity jkff1 is port(j,k:in std_logic; clk:in std_logic; q,qn:out std_logic); end entity jkff1; architecture bhv of jkff1 is signal q1:std_logic; begin process(clk) begin if clk'event and clk='1' then if j='1'and k='0' then q1<='0'; elsif j='0'and k='1' then q1<='1'; elsif j='1'and k='1' then q1<=not q1; else q1<=q1; end if; end if; end process; q<=q1; qn<=not q1; end architecture bhv;

相关文档
最新文档