多路数据采集系统设计

多路数据采集系统设计
多路数据采集系统设计

前言

随着计算机技术的飞速发展和普及,数据采集系统在多个领域有着广泛的应用。数据采集是工、农业控制系统中至关重要的一环,在医药、化工、食品、等领域的生产过程中,往往需要随时检测各生产环节的温度、湿度、流量及压力等参数。同时,还要对某一检测点任意参数能够进行随机查寻,将其在某一时间段内检测得到的数据经过转换提取出来,以便进行比较,做出决策,调整控制方案,提高产品的合格率,产生良好的经济效益。

随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。

此外,计算机的发展对通信起了巨大的推动作用.计算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物。

数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。

数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。

在本毕业设计中对多路数据采集系统作了基本的研究。本系统主要解决的是怎样

进行数据采集以及怎样进行多路的数据采集。

第1章任务分析

1.1设计任务:

设计一个多路数据采集系统,具体指标如下:

1 采用AT89S51及ADC0809设计多路数据采集系统;

2 多通道输入信号由+5V电压经分压后至IN0至IN7;

3 采集处理后的数据由4位数码管动态显示;

4必须具有上电自检功能及外接电源、公共地线接口。

根据系统基本要求,将本系统划分为如下几个部分:

8路模拟信号的产生与A/D转换器

显示位数:4位

发送端的数据采集与传输控制器

数据传输接口电路序

编写系统初始化主程序、显示子程序及其他所需要子程。

第2章硬件系统设计

2.1 硬件系统设计原理

本系统采用 AT89S51 单片机为运算和控制的核心 , AT89S51有P0、P1、P2、P3四个8位的并行双向I/O口,P0口用于控制LED信号灯的显示,P1口控制信号输入

表1 数码管显示真值表

显示

0 1 2 3 4 5 6 7 8

字符

共阴极

3F 06 5B 4F 66 6D 7D 07 7F

段选码

显示

9 A B C D E F - 熄灭

字符

共阴极

6F 77 7C 39 5E 79 71 40 00

选段码

2.2 AT89S51单片机简介

AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4kBytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。

AT89S51具有如下特点:40个引脚,4k Bytes Flash片内程序存储器,128 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。

此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM的数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP和PLCC等三种封装形式,以适应不同产品的需求。

主要功能特性:

?兼容MCS-51指令系统?4k可反复擦写(>1000次)ISP Flash ROM

?32个双向I/O口?4.5-5.5V工作电压

?2个16位可编程定时/计数器?时钟频率0-33MHz

?全双工UART串行中断口线?128x8bit内部RAM

?2个外部中断源?低功耗空闲和省电模式

?中断唤醒省电模式?3级加密位

?看门狗(WDT)电路?软件设置空闲和省电功能

? 灵活的ISP 字节和分页编程 ? 双数据寄存器指针

2.3 硬件系统原理框图

硬件系统原理框图由5部分组成:AT89S51、晶振电路、复位电路、控制电路和

输出电路。

图1 硬件系统原理图

2.4 硬件系统各电路设计

2.4.1 复位电路设计

AT89S51有复位信号引脚RET ,用于从外引入复位信号。

单片机基本复位电路共有上电复位、按键电平复位、按键脉冲复位3种,本设计采用上电复位。复位电路用于产生复位信号,通过RET 引脚送入单片机,进行复位操作。电阻采用10K ,电容采用22uF 。如图2所示。

上电瞬间,RST 端的的电位与Vcc 相同,随着电容的逐步充电,充电电流减小,RST 电位逐渐下降。上电复位所需的最短时间是振荡器建立时间加上二个机器周期,在这段时间里,振荡建立时间不超过10ms 。复位电路的典型参数为:C 取10uF,R 取8.2k,故时间常数

τ=RC=10?106-?8.2?103=82ms

图2 复位电路

2.4.2 晶振电路设计

AT89S51单片机芯片中的高增益反向放大

器,其输入端为引脚XTAL1,输出端为引脚XTAL2。通过这两个引脚在芯片外并接石英晶体振荡器和两只电容器。石英晶体为一感性元件,与电容构成振荡回路,为片内放大器提供正反馈和振荡所需的相移条件,从而构成一个稳定的自激振荡器。晶振频率是指晶体的振荡频率,也就是振荡电路的脉冲频率,也称振荡频率。晶振频率是单片机的一项重要性能指标。因为单片机的时钟信号是通过振荡信号

分频得到的,所以竞争频率直接影响时钟信号的频率。 晶振频 率高,系统的时钟频率就高,单片机运行速

度也就快。然而晶振频率高对存储器等的速度和印刷 图3 晶振电路

电路板的工艺要求也高。

晶振频率不但影响速度,而且对单片机的工作电流也有一定影响,所以在选择晶振频率是,要兼顾速度、功耗和线路工艺。本设计选用频率为6MHz的晶振,电容选用30pF,具体设计如图3所示。

2.4.3 A/D转换器的选取

ADC0809是TI公司生产的8位逐次逼近式模数转换器,包括一个8位的逼近型的ADC部分,并提供一个8通道的模拟多路开关和联合寻址逻辑,为模拟通道的设计提供了很大的方便。用它可直接将8个单端模拟信号输入,分时进行A/D转换,在多点巡回监测、过程控制等领域中使用非常广泛,所以本设计中选用该芯片作为A/D转换电路的核心。

ADC0809与MCS-51系列单片机的接口方法

ADC0809与8051单片机的硬件接口有3种形式,分别是查询方式、中断方式和延时等待方式,本题中选用查询方式。

A/D转换器有一个转换结束信号(EOC),因此可以用查询方式去定转换是否完成。按原理图所示电路,可以用“JNB P3.3,$”之类的指令来查询

由于ADC0809无片内时钟,时钟信号可由单片机的ALE信号经D触发器二分频后获得。ALE引脚得脉冲频率是8051时钟频率的1/6。该题目中单片机时钟频率采用6MHz,则ALE输出的频率是1MHz,符合ADC0809对频率的要求。

由于ADC0809内部设有地址锁存器,所以通道地址由P3口的低3位直接与ADC0809的A、B、C相连。通道基本地址为0000H~0007H。其对应关系如表2所示控制信号:将P3.5作为片选信号,在启动A/D转换时,由单片机的写信号和P3.5控制ADC的地址锁存和启动转换。由于ALE和START连在一起,因此ADC0809在锁存通道地址的同时也启动转换。

在读取转换结果时,用单片机的P3.5引脚经或非门后,产生正脉冲作为OE信号,用一打开三态输出锁存器。

ADDC ADDB ADDA 输入通道号

0 0 0 IN0

0 0 1 IN1

0 1 0 IN2

0 1 1 IN3

1 0 0 IN4

1 0 1 IN5

1 1 0 IN6

1 1 1 IN7

2.4.4 ADC0809内部功能与引脚介绍

分辨率和精度在第一章中已作了相应的计算和分析。 ADC0809八位逐次逼近式A /D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接连通8个单端模拟信号中的任何一个。其内部结构如图2-2所示。

1.ADC0809主要性能 ◆ 逐次比较型 ◆ CMOS 工艺制造 ◆ 单电源供电

◆ 无需零点和满刻度调整

◆ 具有三态锁存输出缓冲器,输出与TTL 兼容 ◆ 易与各种微控制器接口

◆ 具有锁存控制的8路模拟开关 ◆ 分辨率:8位 ◆ 功耗:15mW

◆ 最大不可调误差小于±1LSB(最低有效位)

◆ 转换时间(500CLK f KHz =)128us

◆ 转换精度:0.4%±

◆ ADC0809没有内部时钟,必须由外部提供,其范围

为10~1280kHz 。典型时钟频率为640kHz

2.引脚排列及各引脚的功能,引脚排列如图5所示。 图5 ADC0809引脚列 各引脚的功能如下:

IN0~IN7:8个通道的模拟量输入端。可输入0~5V 待转换的模拟电压。 D0~D7:8位转换结果输出端。三态输出,D7是最高位,D0是最低位。

A、B、C:通道选择端。当CBA=000时,IN0输入;当 CBA=111时,IN7输入。

ALE:地址锁存信号输入端。该信号在上升沿处把A、 B、C的状态锁存到内部的多路开关的地址锁存器中,从而选通8路模拟信号中的某一路。

START:启动转换信号输入端。从START端输入一个正脉冲,其下降沿启动ADC0809开始转换。脉冲宽度应不小于100~200ns。

EOC:转换结束信号输出端。启动A/D转换时它自动变为低电平。

OE:输出允许端。

CLK:时钟输入端。ADC0809的典型时钟频率为640kHz,转换时间约为100μs。

REF(-)、REF(+):参考电压输入端。ADC0809的参考电压为+5V。

VCC、GND:供电电源端。ADC0809使用+5V单一电源供电。

当ALE为高电平时,通道地址输入到地址锁存器中,下降沿将地址锁存,并译码。在START上升沿时,所有的内部寄存器清零,在下降沿时,开始进行A/D转换,此期间START应保持低电平。在START下降沿后10us左右,转换结束信号变为低电平,EOC为低电平时,表示正在转换,为高电平时,表示转换结束。OE为低电平时,D0~D7为高阻状态,OE为高电平时,允许转换结果输出。

2.4.5 输出电路设计

输出电路采用数码管显示,显示方法为动态显示。显示部分为4个共阴极的7段LED 显示器,4个七段LED的a~dp字段的引脚分别由4个OC门同相驱动器驱动。OC门驱动器用三极管。P2口输出位选码,三极管是反向驱动器,P2口正逻辑输出的位控制与共阴极LED要求的低电平点亮正好相反,即当P2口位控制线输出高电平时,点亮一位LED。显示部分的原理图如下图所示。

图3 显示电路原理图

第3章软件系统设计3、1 主程序流程图

3、2 A/D转换流程图

Y

3-2 A/D转换子程序流程图

查询方式实现A/D转换

8路数据采集由于A\B\C选通道只有一路数据,每次只能采集一路数据然后单片机进行数据处理,由数码管输出显示后再进行下一次数据采集。

3、3单片机数据处理的程序如下:

M O V B,#100

D I V A B

M O V50H,A

M O V A,B

M O V B,#10

D I V A B

M O V51H,A

M O V52H,B

M O V A,52H

M O V B,#2

M U L A B

M O V B,#10

D I V A B

M O V52H,B

M O V53H,A

M O V A,51H

M O V B,#2

M U L A B

A D D A,53H

M O V B,#10

D I V A B

M O V51H,B

M O V54H,A

M O V A,50H

M O V B,#2

M U L A B

A D D A,54H

M O V50H,A

R E T

3、4 LED显示程序

M O V D P T R,#N U M T A B

M O V R0,#2

D P1:M O V R2,#150

L O O P3:

M O V A,#01H

M O V P0,A

M O V A,R1

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S Array C L R P0.0

S E T B P0.1

M O V A,50H

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.1

S E T B P0.2

M O V A,51H

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.2

S E T B P0.3

M O V A,52H

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.3

D J N Z R2,L O O P3

D J N Z R0,D P1

R E T

LED显示电路的流

程图

3、5多路数据采集系统的原理图和PCB图

3、6多路数据采集系统主程序:S T B I T P3.5

O E B I T P3.4

E O C B I T P3.3

O R G00H

L J M P S T A R T

O R G30H

S T A R T:M O V R5,#0

S E T:M O V A,R5

M O V R1,A

M O V50H,A

M O V51H,A

M O V52H,A

L C A L L D I S P L A Y

I N C R5

C J N E R5,#10,S E T

L O P:M O V R1,#0

M O V P1,#00H

L O O P1:M O V P3,R1

C L R S T

S E T B S T

C L R S T

S E T B E O C

W A I T:J N B E O C,W A I T

S E T B O E

M O V A,P1

C L R O E

L C A L L L O O P2

L C A L L D I S P L A Y

I N C R1

C J N E R1,#8,L O O P1

L J M P L O P

L O O P2:

M O V B,#100

D I V A B

M O V50H,A

M O V A,B

M O V B,#10

D I V A B

M O V51H,A

M O V52H,B

M O V A,52H

M O V B,#2

M U L A B

M O V B,#10

D I V A B

M O V52H,B

M O V53H,A

M O V A,51H

M O V B,#2

M U L A B

A D D A,53H

M O V B,#10

D I V A B

M O V51H,B

M O V54H,A

M O V A,50H

M O V B,#2

M U L A B

A D D A,54H

M O V50H,A

R E T

D I S P L A Y:

M O V D P T R,#N U M T A B

m o v R0,#2

D P1:M O V R2,#150

L O O P3:

M O V A,#01H

M O V P0,A

M O V A,R1

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.0

S E T B P0.1

M O V A,50H

M O V D P T R,#D D

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.1

S E T B P0.2

M O V A,51H

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.2

S E T B P0.3

M O V A,52H

M O V C A,@A+D P T R

M O V P2,A

A C A L L D I M S

C L R P0.3

D J N Z R2,L O O P3

D J N Z R0,D P1

R E T

D I M S:M O V R7,#00

D J N Z R7,$

R E T

N U M T A B:D B3F H,06H,5B H,4F H,66H,6D H,7D H,07H,7F H,6F H

D D:D B B F H,86H,D B H,C F H,E6H,

E D H,

F D H,87H,F F H,E F H

E N D

第四章心得与体会

通过这次的课程设计,让我们感触最深的就是团队合作的重要性,以前听那些成功人士的经验交流会上别人总是忘不了要说的就是团队合作的精神,以前对那些话嗤之以鼻,但现在我不得不说团队的重要性。诚然,一个人可以很有本事,但再有本事你不融入团队里面去你就只能是闭门造车,不能有很大的作为的。这次的课程设计,我们很是体验了一下,不管这个方面你会不会或者你会的很少,但我们还是能在一起研究,不会因为这方面你不懂你就会遭到蔑视或者其他附加的待遇的。自始至终,我们三个都是在一起研讨一起进步的。

同时我们学到了很多很多的东西,也让我体会到了自主设计的乐趣,这并不是在课堂上的学到的东西能让我感到满足与欣喜的。当你在设计的时候就是思考的过程,那样你就会想很多很多的东西,有用的没用的,但不管你是否思考到了有用的,你总是在思考。还记得有位哲人说过,思考让人进步。每次的课程设计,都会让我们学到很多东西,其实这也是我们理论联系实际的一个过程,一个理论只有通过了联系实际,那样才变成了我们自己的理论。

由于时间、水平有限,本论文还有许多不足之处还请老师指正、修改。

参考文献

【1】单片机原理与接口技术(第3版)李朝青北京航空航天大学出版社出版【2】单片机原理及接口技术胡建刘玉宾朱焕立机械工程出版社出版【3】微型计算机控制技术实用教程潘新民王燕芳电子工业出版社出版

附录

1、元器件表

元器件名称备注数量

芯片AT89S51 1

AD转换器ADC809 1

数码管四位共阴极 1

晶振6MHz 1

电解电容22μF 1

电阻10KΩ14

电阻200Ω 4

三极管NPN 4

电容30pF 2

2、所用仪器仪表与软件

万用表计算机伟福 protel99se proteus

多路数据采集

目录 一、任务与要求 (2) 二、总体设计 (2) 1、电路原理框图 (2) 2、整体工作原理 (3) 三、各部分电路原理图 (4) 1、模拟开关部分 (4) 2、D/A转换部分 (4) 3、三态门驱动部分 (5) 3、RAM部分 (5) 4、十六位数码显示 (6) 5、A/D转换部分 (6) 6、逻辑控制与时钟电路 (7) 四、仿真结果 (7) 1、进行一路数据的采集 (7) 2、进行两路信号的采集 (8) 五:转换精度的分析 (9) 六、该电路实现的功能 (10)

多路数据采集系统的设计报告 一、任务与要求 数字电路所能处理的信号为数字信号,而生产实践中的许多信号属于模拟信号,因而,模/数变换和数/模变换就成为电子技术应用中的基本环节。本实验用数/模、模/数转换器为主设计制作一个数据采集系统。 (1) 用ADC0809或其它ADC 芯片实现对两路以上的模拟信号的采集,模拟信号 以常用物理量温度为对象,可以经传感器、输入变换电路得到与现场温度成线性关系的0~5V 电压,也可以直接用0~5V 的电压模拟现场温度。采集的数据一方面送入存储器保存(如RAM6264),同时用数码管跟踪显示。 (2) 从存储器中读出数据,经D/A 芯片0832或其它DAC 芯片作D/A 变换,观察 所得模拟量与输入量的对应情况 (3) 分析转换误差,研究提高转换精度的措施。 二、总体设计 1、电路原理框图 数据采集系统框图如图8-6-1。

图1数据采集系统框图 说明: (1)、在multisim中使用两个函数发生器产生一个Vpp为5v的正弦波和Vpp 为5V的三角波作为传感信号。 (2)、数字量显示使用的是十六进制。 (3)、在此电路中用模拟开关控制采集哪路信号。 2、整体工作原理 图1数据采集系统电路图 当电路上电开始工作时,J2处于低电位,RS触发器处于置一状态,将开关J2开到高电位时,此时RS为保持状态,控制三态门工作,并使RAM置于写状态,控制A/D不工作。D/A转换器每进行完一次转换都会使EOC’输出一高电平,当下一次转换开始时EOC’又开始变为高电平,利用EOC’给计数器提供冲击脉冲使其计数,并计数器的计数功能来控制RAM的内存单位自动加一,从而使000H--1FFH

多路温度采集系统

小型多路温控采集系统设计一.系统说明

本系统采用51单片机作为控制器,控制温度采集及显示。 温度传感器选用DS18B20,其单总线的通信方式可以减少系统的线路连接。DS18B20支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温。DS18B20在使用中不需要任何外围元件,全部传感元件及转换电路集成在形如一只三极管的集成电路。内温范围-55℃~+125℃,在-10~+85℃时精度为±℃可编程的分辨率为9~12位,对应的可分辨温度分别为℃、℃、℃和℃,可实现高精度测温。 同时本系统选用LCD1602作为显示器件,能够同时显示16x02即32个字符(16列2行)。其显示清晰,并可以显示阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,满足了系统要求。 二.系统电路图 三、程序流程图 四、程序解读 注:程序分两部分。可以先用程序二读出各个器件的序列号,再将序列号填入程序一的SN[4][8]数组中,若要加入更多的器件可以扩大数组,并在程序中增加读显的循环次数。 1.程序一:已知各个器件序列号读取温度 #include<> #define uchar unsigned char #define uint unsigned int uchar TMP[4]; 0”1”0c1”2”3”4”序二:读取DS18B20序列号程序 注:读ROM时,只能有一个器件与单片机通信。可以逐个相连来读出其ROM #include<> #define uchar unsigned char #define uint unsigned int uint sn[8]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x10}; sbit DQ=P3^7;//ds18b20与单片机连接口 sbit RS=P3^0; sbit RW=P3^1; sbit EN=P3^2; void delay1ms(unsigned int ms)//延时1毫秒(不够精确的)

多路数据采集器设计报告

多路数据采集器设计 1.设计要求 所设计的数据采集器,共有16路信号输入,每路信号都是直流0~20mV信号,每秒钟采集一遍,将其数据传给上位PC计算机。本采集器地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,通信用RS232接口,通信芯片用MAX232。 与PC机的RS232串口进行通信。 设计采集器的电原理图,用C51语言编制采集器的工作程序。 2.方案设计 按要求,设计数据采集器方案如下所示: 数据采集器采用AT89C51单片机作为微控制器,模拟开关4067的地址A、B、C、D分别与P1.0~P1.3连接,通过控制P1口输出来选择输入信号,将直流信号依次输入ADC0809的模拟信号输入端,ADC0809共有8路输入通道,在使用模拟开关时,仅将模拟开关的输出端连接到ADC0809的1路输入通道即可,本方案中使用0通道。ADC0809的转换结果通过P0口传给单片机,单片机将采集结果通过串行通信RS232接口上传给上位PC机,实现数据的采集。 数据采集器方案示意图

3.电路原理图 a)AT89C51单片机电路 本实验中选取8位单片机AT89C51作为微控制器,需要片外11.0592MHz的振荡器,4K字节EPROM,128字节RAM,与51单片机有很好的兼容性。在本此实验中程序及数据不多,故无需另加外部程序存储器。单片机部分的电路如下所示: AT89C51单片机电路 b)数据输入部分

数据输入部分由模拟开关4067实现多路信号的切换。CD4067是单16路(单刀16位)模拟开关,各开关由外部输入二进制的地址码A、B、C、D来切换。其中脚10、11、14和13是地址码A(LSB)、B、C、D(MSB)的输入端;脚2~9和16~23是开关的输入/输出端(开关位);脚1是开关的输出/输入公共端(开关刀);脚15为控制端,低电平有效(选通),高电平禁止(开关开路)。 输入脚A、B、C、D分别与单片机P1.0~P1.3相连,改变P1输出即可切换输入通道,控制脚与P2.4相连。输出脚1后接电压放大电路。 c)电压调理放大电路 电压调理电路 由于输入信号均为0~20mV的微弱电压信号,而模数转换器ADC0809的输入量要求为0~5V 直流电压,所以必须后接电压放大电路。放大器选用OP07,将0~20mV电压放大到0~5V,其放大倍数为250倍,一般情况下,放大器的放大倍数最好小于200倍,安全起见,选用两个OP07进行两级放大,前级放大25倍,后级放大10倍,放大电路如上图所示。 d)模数转换部分 ADC0809数模转换电路 模数转换元件选用ADC0809,其主要特性有: 8路8位A/D转换器,即分辨率8位;

多路数据采集与控制系统

1 引言 数据采集是指将温度、压力、流量、位移等模拟量采集、转换成数字量后,再由计算机进行存储、处理、显示的过程。在生产过程中,可对生产现场的工艺参数进行采集、监视和记录,为提高产品的质量、降低成本提供信息和手段。本文设计了一套多路数据采集系统,实施采集多现场的温度参数,系统通过RS485总线将采集到的现场温度数据传输至上位机,上位机对采集到的数据进行显示、存储,从而达到现场监测与控制的目的。 2 设计目的和要求 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上 0-5V的模拟电压进行采集的能力,且可以用程序选择装换通道,选择ADC0809 作为A/D转换芯片。 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 3 系统设计方案 1.八路模拟信号的产生 被测电压要求为0~5V的直流电压,可通过八个滑动变阻器调节产生。 2.模拟信号的采集 八路数据采集系统采用共享数据采集通道的结构形式,数据采集方式确定为程序控制数据采集。 3.A/D转换器的选取 八位逐次比较式A/D转换器 4.控制与显示方法的选择 用单片机作为控制系统的核心,处理来自ADC0809的数据。经处理后通过串口传送,由于系统功能简单,完成采样通道的选择,单片机通过接口芯片与LED

数码显示器相连,驱动显示器相应同采集到的数据。 图3.1 总体设计图 4 硬件系统的设计 4.1芯片ADC0809的引脚功能和主要性能 ADC0809八位逐次逼近式A/D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。 ADC0809的引脚图及51单片机引脚图: 图4.1 ADC0809管脚图及51单片机芯片管脚图 模拟输入通道1 ADC0808 单片机 LED 模拟输入通道2 模拟输入通道8

多路温度采集系统设计与实现

学校代码:11517 学号:201150712117 HENAN INSTITUTE OF ENGINEERING 毕业设计(论文) 题目多路温度采集系统设计与实现 学生姓名高宇照 专业班级电气工程及其自动化1121 学号201150712117 系(部)电气信息工程学院 指导教师(职称) 张秋慧(讲师) 完成时间2012 年 5 月13日

目录 摘要................................................................................................... I ABSTRACT ........................................................................................... II 1 前言 . (1) 1.1 背景介绍 (1) 1.2 研究设计意义及目的 (1) 1.3 发展情况 (2) 1.4 本设计主要内容 (3) 2 设计任务及方案论证 (4) 2.1 设计任务 (4) 2.2 设计方案的论证 (4) 2.3系统框图设计 (6) 3 多路温度采集系统硬件电路设计 (7) 3.1系统模块及模块介绍 (7) 3.1.1 系统整体模块控制 (7) 3.1.2 模块介绍及原理 (7) 3.2 系统基本硬件组成设计 (14) 3.2.1微机芯片工作电路设计 (14) 3.2.2 温度采集电路设计 (15) 3.2.3LCD1602的显示设计 (17) 3.2.4 报警电路的设计 (18) 3.2.5 电源部分的设计 (19) 3.3 系统设计的电路结构图 (21) 4 系统的软件设计 (22) 4.1 主程序设计 (22) 4.2 子程序设计 (23) 5 系统调试与性能分析 (27) 5.1 系统调试 (27) 5.2 性能分析 (29) 结论 (31) 致谢 (32)

多路数据采集系统设计毕业论文

多路数据采集系统设计毕业论文 第1章绪论 1.1 多路数据采集系统介绍 随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。 此外,计算机的发展对通信起了巨大的推动作用。算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物[2]。 数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等

工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。 由于RS-232在微机通信接口中广泛采用,技术已相当成熟。在近端与远端通信过程中,采用串行RS-232标准,实现PC机与单片机间的数据传输。在本毕业设计中对多路数据采集系统作了初步的研究。本系统主要解决的是怎样进行数据采集以及怎样进行多路的数据采集,并将数据上传至计算机[2]。 1.2 设计思路 多路数据采集系统采用ADC0809模数转换器作为数据采集单元和AT89C51单片机来对它们进行控制,不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高采集数据的灵敏度及指标。通过MAX232电平转换芯片实现单片机与PC 机的异步串行通信,设计中的HD7279实现了键盘控制与LED显示显示功能。本文设计了一种以AT89C51和ADC0809及RS232为核心的多路数据采集系统。 多路数据采集系统就是通过键盘控制选择通路,将采集到的电压模拟两转换成数字量实时的送到单片机里处理从而显示出采集电压和地址值,最终控制执行单片机与PC机的异步串行通信。 连接好硬件后,给ADC0809的三条输入通路通入直流电压。4-F键为功能键,4-E键为复位键,F键为确认键。1-3键为通道选择键,分别采集三个通道的数据值并实时显示出数值和地址值。结合单片机RS232串口功能还实现了与PC机的异

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

基于单片机的多路温度采集系统毕业设计(论文)外文翻译

华南理工大学学院 本科毕业设计(论文)外文翻译 外文原文名Structure and function of the MCS-51 series 中文译名MCS-51系列的功能和结构 学院电子信息工程学院 专业班级自动化一班 学生黎杰明 学生学号 3 指导教师吴实 填写日期2016年3月10日 页脚.

外文原文版出处:《association for computing machinery journal》1990, V ol.33 (12), pp.16-ff 译文成绩:指导教师(导师组长)签名: 译文: MCS-51系列的功能和结构 MSC-51系列单片机具有一个单芯片电脑的结构和功能,它是英特尔公司的系列产品的名称。这家公司在1976年推出后,引进8位单芯片的MCS-48系列计算机后于1980年推出的8位的MCS-51系列单芯片计算机。诸如此类的单芯片电脑有很多种,如8051,8031,8751,80C51BH,80C31BH等,其基本组成、基本性能和指令系统都是相同的。8051是51系列单芯片电脑的代表。 一个单芯片的计算机是由以下几个部分组成:(1)一个8位的微处理器(CPU)。(2)片数据存储器RAM(128B/256B),它只读/写数据,如结果不在操作过程中,最终结果要显示数据(3)程序存储器ROM/EPROM(4KB/8KB).是用来保存程序一些初步的数据和切片的形式。但一些单芯片电脑没有考虑ROM/EPROM,如8031,8032,80C51等等。(4)4个8路运行的I/O接口,P0,P1,P2,P3,每个接口可以用作入口,也可以用作出口。(5)两个定时/计数器,每个定时方式也可以根据计算结果或定时控制实现计算机。(6)5个中断(7)一个全双工串行的I/UART(通用异步接收器I口/发送器(UART)),它是实现单芯片电脑或单芯片计算机和计算机的串行通信使用。(8)振荡器和时钟产生电路,需要考虑石英晶体微调能力。允许振荡频率为12MHz,每个上述的部分都是通过部数据总线连接。其中CPU是一个芯片计算机的核心,它是计算机的指挥中心,是由算术单元和控制器等部分组成。算术单元可以进行8位算术运算和逻辑运算,ALU单元是其中一种运算器,18个存储设备,暂存设备的积累设备进行协调,程序状态寄存器PSW积累了2个输入端的计数等检查暂时作为一个操作往往由人来操作,谁储存1输入的是它使操作去上暂时计数,另有一个操作的结果,回环协调。此外,协调往往是作为对8051的数据传输转运站考虑。作为一般的微处理器,解码的顺序。振荡器和定时电路等的程序计数器是一个由8个计数器为2,总计16位。这是一个字节的地址,其实程序计数器,是将在个人电脑进行。从而改变它的容可以改变它的程序进行。在8051的单芯片电脑的电路,

多路温度采集器设计

J I A N G S U U N I V E R S I T Y 《嵌入式项目应用实践》 恭喜你 学院名称:计算机科学与通信工程学院 班级:计院的孩子 小组成员:雷锋 教师姓名:你猜猜 2016年 5 月 10日

一.实验题目 多路温度采集系统的设计。 二.实验要求 a)使用PROTEUS 8和ARDUINO IDE 进行硬件电路设计和MCU程序设 计 b)使用ALTIUM DXP 进行PCB版图设计 c)三个人一组,完成项目。每组交一份报告,一份PPT并答辩。 1.使用PROTEUS 8和ARDUINO IDE 进行硬件电路设计和MCU程序设计: 将三种温度采集的温度值显示在屏幕上,同时利用串口输出温度值。 d)分别使用LM35、DS18B20、MAX6657器件进行温度采集,使用ARDUINO 设计MCU程序。 e)时用拨动开关进行温度来源选择,开关导通时,对应LED点亮,采到的 温度要输出到液晶屏和串口。即最多可以同时显示3个器件采集的温度,最少1个。当一个都没选时,用蜂鸣器提示。 f)设计时可能数字引脚不够,此时,A0可以做为14脚处理,A1做为15 脚,以此类推。 2.使用ALTIUM DXP进行PCB版图设计 a)在DXP中绘制原理图。 b)注意:DXP中没有MAX6675芯片,需自己创建原理图元件和PCB封装。 c)液晶屏用合适的接线座替代或自行设计。 d)增加电源变压器插座(假设输入为8V)和LM7805稳压芯片将电压稳定在 5V,并做为系统供电。 e)进行PCB版图设计,即进行PCB层数设置、元件布局和布线。设计时要 考虑线宽、布线规定、防噪声设计等。 f)注意:元件位置要合理,便于用户使用。

嵌入式系统开发课程-多路数据采集系统设计

嵌入式系统开发课程-多路数据采集系统设计 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

多路数据采集系统设计

1题目要求 所设计的数据采集系统,共有16路信号输入,每路信号都是0~10mV,每秒钟采集一遍,将其数据传给上位PC计算机,本采集地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,芯片用 MAX232。 设计其电路原理图,用C51语言编制工作程序。 2总体方案设计 根据题目要求,传感器首先采集16路信号,然后被多路模拟开关4067选通某一路信号,接着通过信号调理电路,由A/D转换器进行模/数转换后发送给单片机,之后通过MAX232由RS232串口进行通讯,最终将数据传递到上位PC计算机。因此,数据采集系统主要包括以下几个主要环节: 2.1信号选通环节 由于题目要求采集的信号路数达到了16路,每一路信号的流通路线均相同。如果为每路信号都设置相应的放大、A/D转换单元,成本将大幅度提升。因此可以接入一个多路模拟开关4076,轮流选通每一路信号,实现多路信号共用一个运算放大器和A/D转换单元,即降低了成本,又简化了电路。 4067为16路模拟开关,其内部包括一个16选1的译码器和被译码输出所控制的16个双向模拟开关。当禁止端INH置0时,在I/N0-I/N15中被选中的某个输入端与输出公共端X接通,外部地址输入端A、B、C、D决定了被选通端;当INH置1时,所有模拟开关均处于断路状态。 2.2信号调理电路 为了方便信号的进一步传输和处理,一般均要在传感器的输出端接入信号调理电路,对传感器输出的信号进行变换、隔离、放大、滤波等处理。此处的信号波动范围只有0~10mV,属于微弱信号,需要进行放大处理。按照题目要求,本文设计的系统选用运算放大器OP07。OP07是一种高精的度单片运算放大器,其输入失调电压和漂移值均很低,适合用作前级放大器。 2.3A/D转换器 由于单片机只能处理数字信号,所以需要接入A/D转换器将模拟信号转换成数字信号。本文采用题目提供的ADC0809,它可以和单片机直接通讯。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 此处采用中断的方式使数据在单片机与ADC0809之间进行交换,端口地址为 FF50H;P0口和WR信号共同生成单片机的启动转换信号;为了在启动转换的同时选通通道,将通道地址锁存信号ALE与START相连;把P0口和RD同时处在有效位的组

基于51单片机的多路温度采集控制系统设计

基于51单片机的多路温度采集控制系统设计 前言: 随着现代信息技术的飞速发展,温度测量控制系统在工业、农业及人们的日常生活中扮演着一个越来越重要的角色,它对人们的生活具有很大的影响,所以温度采集控制系统的设计与研究有十分重要的意义。 本次设计的目的在于学习基于51单片机的多路温度采集控制系统设计的基本流程。本设计采用单片机作为数据处理与控制单元,为了进行数据处理,单片机控制数字温度传感器,把温度信号通过单总线从数字温度传感器传递到单片机上。单片机数据处理之后,发出控制信息改变报警和控制执行模块的状态,同时将当前温度信息发送到LED进行显示。本系统可以实现多路温度信号采集与显示,可以使用按键来设置温度限定值,通过进行温度数据的运算处理,发出控制信号达到控制蜂鸣器和继电器的目的。 我所采用的控制芯片为AT89c51,此芯片功能较为强大,能够满足设计要求。通过对电路的设计,对芯片的外围扩展,来达到对某一车间温度的控制和调节功能。 关键词:温度多路温度采集驱动电路 正文: 1、温度控制器电路设计 本电路由89C51单片机温度传感器、模数转换器ADC0809、窜入并出移位寄存器74LS164、数码管、和LED显示电路等组成。由热敏电阻温度传感器测量环境温度,将其

电压值送入ADC0809的IN0通道进行模数转换,转换所得的数字量由数据端D7-D0输出到89C51的P0口,经软件处理后将测量的温度值经单片机的RXD端窜行输出到74LS164,经74LS164 窜并转换后,输出到数码管的7个显示段,用数字形式显示出当前的温度值。89C51的P2.0、P2.1、P2.2分别接入ADC0809通道地址选择端A、B、C,因此ADC0809的IN0通道的地址为F0FFH。输出驱动控制信号由p1.0输出,4个LED为状态指示,其中,LED1为输出驱动指示,LED2为温度正常指示,LED3为高于上限温度指示,LED4为低于下限温度指示。当温度高于上限温度值时,有p1.0输出驱动信号,驱动外设电路工作,同时LED1亮、LED2灭、LED3亮、LED4灭。外设电路工作后,温度下降,当温度降到正常温度后,LED1亮、LED2亮、LED3灭、LED4灭。温度继续下降,当温度降到下限温度值时,p1.0信号停止输出,外设电路停止工作,同时LED1灭、LED2灭、LED3灭、LED4亮。当外设电路停止工作后,温度开始上升,接着进行下一工作周期。 2、温度控制器程序设计 本软件系统有1个主程序,6个子程序组成。6个子程序为定时/计数器0中断服务程序、温度采集及模数转换子程序ADCON、温度计算子程序CALCU、驱动控制子程序DRVCON、十进制转换子程序METRICCON及数码管显示子程序DISP。 (1)主程序 主程序进行系统初始化操作,主要是进行定时/计数器的初始化。 (2)定时/计数器0中断服务程序 应用定时计数器0中断的目的是进行定时采样,消除数码管温度显示的闪烁现象,用户可以根据实际环境温度变化率进行采样时间调整。每当定时时间到,调用温度采集机模数转换子程序ADCON,得到一个温度样本,并将其转换为数字量,传送给89C51单片机,

基于单片机的多路温湿度检测系统设计

基于单片机的多路温湿度检测系统设计 潘磊 (天津冶金职业技术学院电气工程系,天津300400) 摘要:介绍了以C8051F120单片机和PC 机为核心的温湿度检测系统,论述了系统的组成,各模块硬件电路设计以及系统上位机、下位机的软件设计。系统下位机实时收集多路SHT71传感器采集的数据并显示上传,上位机利用VB 中MSComm 控件完成数据接收和处理,实现了对环境温湿度的现场显示和远距离控制。 关键词:温湿度检测;C8051F120;SHT71;VB 中图分类号:TP274文献标识码:A 文章编号:1673-1131(2013)01-0065-02 随着社会生产的不断发展进步,许多工农业生产过程以 及民用场合都需要对环境的温度和湿度进行检测并控制,比 如:粮仓、温室蔬菜大棚、通信基站、电力变电房、药厂、图书馆、 博物馆等。为此本文设计了一个系统实现对环境温度湿度的 检测控制。 1系统结构 本系统主要由电源模块、单片机系统、键盘及LCD 显示 模块、温度湿度传感器采集模块、时钟芯片模块、语音报警模 块、通信模块以及上位机系统组成。系统能够实时采集四处 检测环境的温度和湿度,并把采集数据显示在LCD 屏上,通 过键盘预先设置温湿度上下限数值,当所检测的温度或湿度 超过所设定的数值语音报警模块报警。同时,下位机上传温 度湿度数据,上位机对数据进行存储、显示以及数据分析。系 统框图如图1 所示。 图1系统框图 2系统硬件设计 2.1单片机系统 本系统选用Cygnal 公司的C8051F120单片机作为核心 处理器,此款单片机有64位I/O 口,满足本系统外设较多的需 求,减少系统I/O 扩展,也为增加检测通路和系统扩展预留接 口。单片机峰值处理速度达到100Mips ,大大提高了系统的实 时性,内部带有128KB FLASHROM 能够满足多路实时数据 的大容量存储,集成2个UART ,1个I 2C ,1个SPI 接口便于与 外围设备及上位机传输数据。 2.2温度湿度传感器采集模块 传统模拟式温湿传感器的测量精度和分辨率很低,只有 1%左右,同时要获得高精度还需要更高精度的基准电压。另 外,所测得的模拟量还要进过A/D 转换才能送入微处理器 进行处理。为避免上述问题本系统采用全校准数字输出相 对湿度和温度传感器SHT71,与单片机接口电路图如图2所 示。图2 温度湿度传感器采集模块图3LCD 显示模块为了实现多点同时测量减少采集等待时间,同时尽量少的占用I/O 口资源,本系统将SHT71的时钟线SCK 都连接到P1.0口,数据线DATA 分别连接到P1口其他4个I/O 口上,并在数据线DATA 端加入上拉电阻。通过软件程序写入命令 即可完成温湿度数据采集,但传感器输出的测量量并不是实 际值,还需进行数据转换。2013年第1期 (总第123期)2013(Sum.No123) 信息通信INFORMATION &COMMUNICATIONS

数据采集系统

湖南工业大学科技学院 毕业设计(论文)开题报告 (2012届) 教学部:机电信息工程教学部 专业:电子信息工程 学生姓名:肖红杰 班级: 0801 学号 0812140106 指导教师姓名:杨韬仪职称讲师 2011年12 月10 日

题目:基于单片机的数据采集系统的控制器设计 1.结合课题任务情况,查阅文献资料,撰写1500~2000字左右的文献综述。 近年来,数据采集及其应用技术受到人们越来越广泛的关注,数据采集系统在各行各业也迅速的得到应用。如在冶金、化工、医学、和电器性能测试等许多场合需要同时对多通道的模拟信号进行采集、预处理、暂存和向上位机传送、再由上位机进行数据分析和处理,信号波形显示、自动报表生成等处理,这些都需要数据采集系统来完成。但很多数据采集系统存在功能单一、采集通道少、采集速率低、操作复杂、并且对操作环境要求高等问题。人们需要一种应用范围广、性价比高的数据采集系统,基于单片机的数据采集系统具有实现处理功能强大、处理速度快、显示直观,性价比高、应用广泛等特点,可广泛应用于工业控制、仪器、仪表、机电一体化,智能家居等诸多领域。总之,无论在那个应用领域中,数据采集与处理越及时,工作效率就超高,取得的经济效益就越大。 数据采集系统的任务,就是采集传感器输出的模拟信号转换成计算机能识别的信号,并送入计算机,然后将计算得到的数据进行显示或打印,以便实现对某些物理量的监测,其中一些数据还将被生产过程中的计算机控制系统用来控制某些物理量。 数据采集系统的市场需求量大,特别是随着技术的发展,可用数据器为核心构成一个小系统,而目前国内生产的主要是数据采集卡,存在无显示功能、无记忆存储功能等问题,其应用有很大的局限性,所以开发高性能的,具有存储功能的数据采集产品具有很大的市场前景。 随着电子技术的迅速发展,,一些高性能的电子芯片不断推出,为我们进行电子系统设计提供的更多的选择和更多的方便,单片机具有体积小、低功耗、使用方便、处理精度高、性价比高等优点,这些都使得越来越广泛的选用单片机作为数据采集系统的核心处理器。一些高性能的A/D转换芯片的出现也为数据采集系统的设计提供了更多的方便,无论是采集精度还是采样速度都比以前有了较大的提高。其中一些知名的大公司如MAXIM公司、TI公司、ADI公司都有推出性能比效突出的 A/D转换芯片,这些芯片普通具有低功耗、小尺寸的特点,有些芯片还具有多通道的同步转换功能。这些芯片的出现,不仅因为芯片价格便宜,能够降低系统设计的成本,而且可以取代以前繁琐的设计方法,提高系统的集成度。 数据采集器是目前工业控制中应用较多的一类产品,数据采集器的研制已经相当成熟,而且数据采集器的各类不断增多,性能越来越好,功能也越来越强大。 在国外,数据采集器已发展的相当成熟,无论是在工业领域,还是在生活中的应用,比如美国FLUKE公司的262XA系列数据采集器是一种小型、便携、操作简单、使用灵活的数据采集器,它既可单独使用又可和计算机连接使用,它具有多种测量

51单片机数据采集系统[1]

课程设计报告书 设计任务书 一、设计任务 1一秒钟采集一次。 2把INO口采集的电压值放入30H单元中。 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1. 采用8051和ADC0809构成一个8通道数据采集系统。 2. 能够顺序采集各个通道的信号。

3. 采集信号的动态范围:0~5V。 4. 每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D转换器循环采样模拟电压,每隔一定时间去采样一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。 目录 第一章系统设计要求和解决方案 第二章硬件系统 第三章软件系统 第四章实现的功能 第五章缺点及可能的解决方法 第六章心得体会

附录一参考文献 附录二硬件原理图 附录三程序流程图 第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如图1-1所示

信号采集分析 被测电压为0~5V 直流电压,可通过电位器调节产生。 信号采集 多路数据采集系统多采用共享数据采集通道的结构形式。 数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地址可随意选择,控制多路传输门开启的通道地址码由存储器中读出的指令确定。即改变存储器中的指令内容便可改变通道地址。 由于顺序控制数据采集方式 缺乏通用性和灵活性,所以本设计中选用程序控制数据采集方式。 采集多路模拟信号时,一般用多路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是 图1-3 程序控制数据采集原理 图1-1 一般系统框图

多路数据采集与处理

第21卷 第2期韶关大学学报(自然科学版)Vol121 No12 2000年4月Journal of Shaoguan University(Natural Science)Apr12000 多路数据采集与处理 陆 英, 郝宁生 (韶关大学机电系,广东韶关 512003) 摘要:本文介绍了基于8031单片机的多路数据采集和处理系统,以及在大棚温度、湿度控制管理系统中 的应用。给出了部分系统硬件框图和部分主要的软件流程图。 关键词:单片机;温度;湿度;数据采集 中图分类号:TP2 文献标识码:A 文章编号:1007-5348(2000)02-0066-05 随着现代农业的发展,在农业生产中利用大棚种植农作物已比较普遍,对大棚的自动化管理已是现代农业的发展趋势。在大棚生产中,需要根据当时的温度和湿度来决定是否需要进行喷灌、遮阴、通风等管理。然而在传统生产中存在以下问题:(1)在农作物播种和移载期不能满足对温度和湿度进行严格控制的要求,导致出苗不齐、生长缓慢,严重时甚至会造成死亡。(2)只能根据经验来管理生产,不利于农作物的生长。因此,迫切需要一种适合大棚生产要求的自动控制装置。作者针对这一问题,设计了一套单片机数据采集与处理系统。 1 微机控制系统的硬件设计 在生产管理中,不仅要求根据不同的作物作不同的控制,而且还需要根据各种作物的不同生长期所需的温度、湿度设置不同的控制参数,同时要能显示设定值和实际测量值,以便核对和更改。当控制系统工作正常而机构出现故障时,就有可能造成实测参数超出设定值的范围而无法进行有效控制。此时,必须要报警。根据这一设计思想,设计了图1所示的计算机控制系统。 该系统采用了8031单片机作为控制、计算核心,2764作为程序存贮器,扩展一片8155作输出,同时扩展6264作为数据存贮器,A/D转换选用0809,键盘和显示部分用Intel公司为8位微处理器设计的通用键盘/显示器接口芯片8279,设计有20个键可供使用,8位数码管进行显示。 2 数据采集系统 本系统对8路模拟量进行采集,其中四路为温度,另四路为湿度。主要考虑到:(1)当大棚比较大时,增加测量点,减少测量误差;(2)为以后大棚向种植、养禽、孵化多用大棚发展打下基础。8路温度、湿度经变送放大后,送0809进行A/D转换。我们采用的数据采集方式:依次对每一路的数据采样8次,然后进行平均值滤波,以消除随机干扰造 收稿日期:1998-07-07 作者简介:陆英(1965-),女,江苏海门人,韶关大学机电系副教授,主要从事电子技术和单片机的开发研究。 郝宁生(1963-),男,黑龙江牡丹江人,韶关大学机电系工程师,主要从事电子技术和单片机的开发研究。

多路温度采集与控制1(C51、ADC0808)

单片机原理与应用课程设计 设计题目:温度测控系统设计 设计时间:2011-2012第一学期 专业班级:电自化2008级3班 姓名学号:王勇20082390 指导老师:赵丽清 2011 年12 月25 日

目录 目录 0 第一章设计要求及目的 (2) 第二章系统总体方案选择与说明 (3) 第三章系统方框图与工作原理 (4) 第四章器件说明 (6) 4.1 单片机89C51说明 (6) 4.2 ADC0809说明 (6) 4.3 ADC0809 应用说明 (7) 4.4 LED显示器 (8) 4.5 8255可编程器件扩展并行接口 (9) 第五章软件设计与说明.................. 错误!未定义书签。 5.1 程序设计 (17) 总结.................................. 错误!未定义书签。参考文献 (25)

第一章设计要求及目的 数据采集系统用于将模拟信号转换为计算机可以识别的数字信号.该系统目的是便于对某些物理量进行监视.数据采集系统的好坏取决于他的精度和速度.设计时,应在保证精度的情况下尽可能的提高速度以满足实时采样、实时处理、实时控制的要求.在科学研究中应用该系统可以获得大量动态;是研究瞬间物理过程的重要手段;亦是获取科学奥秘的重要手段之一.这次设计用到的集成芯片主要有8051单片机、ADC0808等.ADC0800主要作用是对八路模拟信号进行选择采集,并将其转化为八位数字信号,再送至主控制器(8051单片机);软件部分即为控制单片机的工作进程,程序由汇编语言完成并在PROTEUCE开发软件中进行的调试与仿真. 设计要求: ●温度检测范围0 ℃ ~ 64℃; ●选择合适的方式对采集的值应进行数字滤波; ●数码管显示,同时显示通道号; ●具有超限报警功能; ●可通过键盘设置上、下限值。

最新刘世鹏--多路温度采集系统设计

刘世鹏--多路温度采集系统设计

课程设计报告 课程名称:多路温度采集系统设计 学生姓名:刘世鹏 学号: 201016020214 专业班级: T10102 指导教师:李文圣 完成时间: 2013年6月10日 报告成绩: 评阅意见: 评阅教师日期

多路温度采集系统设计 1 课程设计目的 温度是一种最基本的环境参数,人们的生活与环境温度息息相关,因此研究温度的测量方法和装置具有重要的意义。温度测量装置的关键是温度传感器,温度传感器的发展经历了三个发展阶段:(1)传统的分立式温度传感器,(2)模拟集成温度传感器,(3)智能集成温度传感器。目前,国际上新型温度传感器正从模拟式向数字式,从集成化向智能化、网络化的方向飞速发展。 本人选择数字式多路温度采集系统设计。 系统主要技术指标: (1)2路温度采集电路及以上; (2)采集测温范围为-50~+110 ℃; (3)温度精度,误差在0.1 ℃以内; (4)显示模块,采用LED数码管显示。

2设计步骤 按照系统设计功能的要求,系统由5个模块组成:主控制器、温度采集电路[1]、温度显示电路、报警控制电路及键盘输入控制电路。数字式多路温度采集系统总体电路结构框图如图1所示。 图1 数字式多路温度采集系统结构框图 采用智能温度传感器(DS18B20)采集环境温度并进行简单的模数转换;单片机(AT89C51)执行程序对温度传感器传输的数据进行进一步的分析处理,转换成环境对应的温度值,通过I/O口输出到数码显示管(LED)显示;由键盘输入控制选择某采集电路检测温度及显示;报警电路对设定的最高最低报警温度进行监控报警。 2.1温度采集电路设计 温度采样处理电路由温度传感器、放大电路、A/D转换电路等组成。采用分块结构的温度采样处理电路,其硬件电路结构复杂,也不便于数据的处理。采用智能温度传感器采样处理电路,能够方便的进行温度的采集及简单的数据处理。并且可以达到设计的技术指标要求。本系统选择智能温度传感器DS18B20作为温度采集电路的核心器件。由DS18B20及辅助电路构成温度采集电路。

相关文档
最新文档