EDA 期末试卷

EDA     期末试卷
EDA     期末试卷

2010—2011学年第 二 学期

《EDA 技术》 期末考试试卷

系电气信息工程系 专业电子信息工程技术 班级09级 班 姓名 学号

一、选择题(本大题共10小题,每小题2分,共20分)

1.IP 核在EDA 技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP 核为( )。

A. 软IP

B. 固IP

C. 硬IP

D. 全对

2.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述的是( )。

A. 器件外部特性

B. 器件的内部功能

C. 器件外部特性与内部功能

D. 器件的综合约束

3.进程中的信号赋值语句,其信号更新是( )。

A. 立即完成

B. 在进程的最后完成

C. 按顺序完成

D. 都不对

4.不完整的IF 语句,其综合结果可实现( )。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路

D. 三态控制电路

5. VHDL 语言中变量定义的位置是 。

A. 实体中中任何位置

B. 实体中特定位置

C. 结构体中任何位置

D. 结构体中特定位置

6.变量和信号的描述正确的是( )。

A. 变量可以带出进程

B. 信号可以带出进程

C. 信号不能带出进程

D. 二者没有区别

7.可以不必声明而直接引用的数据类型是( )。

A. STD_LOGIC

B. STD_LOGIC_VECTOR

C. BIT

D. 前面三个答案都是错误的

8.如果a=1,b=1,则逻辑表达式(a XOR b )OR (NOT b AND a )的值是( )。

A. 0

B. 1

C. 2

D. 不确定

9.在VHDL 的CASE 语句中,条件句中的“=>”不是操作符号,它只相当与( )的作用。

A. IF

B. THEN

C. AND

D. OR

10.下面哪一个是VHDL 中的波形编辑文件的后缀名。( )

A. gdf

B. scf

C. sys

D. vwf

二、填空题(本大题共10个空,每空1分,共10分)

1.在QuartusII 中进行EDA 设计,要求工程名和 文件名称必须一致。

2.FPGA 的设计开发过程中,进行引脚绑定的目的是 。

3.EDA 实验室GW48实验系统中,要使用CLK0时钟信号需绑定FPGA 的 号引脚。

4.为完成硬件测试而对FPGA 进行直接配置的文件是 文件。

5.LPM 的中文意译是 。

6.在QuartusII 原理图输入法下,使用Mega Wizard Plug-In Manager 完成宏功能模块的设计,结果会产生LPM 的 文件和 文件。

7.在进行LPM_ROM 的设计过程中,.mif 文件的作用是 。

8.在QuartusII 原理图输入法设计中使用 方法实现总线和各条信号线的连接。

9.原理图中8位总线Q 的表达方式(名称)为 。

线

《EDA 技术》A 卷第 1页(共8页)

《EDA 技术》A 卷第 2页(共8页)

三、简答题(本大题共4小题,每个4分,共16分)

1.在编译(综合)过程中,产生“Error: Top-level design entity "dsc" is undefined”的错误提示,最有可能的原因是什么?

2.基于原理图编辑器的层次化设计方法中,将已设计好的功能模块包装为元件的完整命令是什么?

3.用基于原理图编辑器的层次化设计方法完成一个设计并建立工程,双击包装元件asd,出现Can’t locate design file for entity "asd" 对话框或在编译过程中出现Error: Node instance "inst" instantiates undefined entity "asd"错误提示,最有可能的原因是什么?

4.写出4种VHDL中描述时钟CLK下降沿的语句。

四、程序填空(本大题共2小题10个空,每空1分,共10分)

1.下面程序是1位十进制计数器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE._____________.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT ( CLK : IN STD_LOGIC ;

Q_OUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;

END CNT10;

ARCHITECTURE bhv OF ______ IS

SIGNAL QQ : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS (CLK)

_______

IF __________________ THEN

IF QQ > 10 THEN

QQ <= (OTHERS => '0');

ELSE

QQ <= QQ + 1 ;

END IF;

END IF;

END PROCESS ;

__________

END bhv;

2.下面程序是一个多路选择器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bmux IS

PORT ( sel : ____ STD_LOGIC;

A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

Y : ____ STD_LOGIC_VECTOR(___ DOWNTO 0)) ;

END bmux;

ARCHITECTURE bhv OF bmux IS

BEGIN

y <= A when sel = '1' ______ ______;

END bhv;

《EDA技术》A卷第3页(共8页)《EDA技术》A卷第4页(共8页)

五、程序改错(12分)

仔细阅读下面的程序,找出其中的错误,说明错误原因并在相应的位置进行改正。回答程序下面的问题。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY decoder IS

PORT( a,b,c : IN STD_LOGIC;

y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

);

END ENTITY decoder;

ARCHITECTURE behave OF decoder IS

SIGNAL indata : STD_LOGIC_VECTOR(2 DOWNTO 0); VARIABLE y_out : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN

indata <= c&b&a; PROCESS( input ) BEGIN

CASE indata IS

WHEN "000" => y_out <= "00000001"; WHEN "001" => y_out <= "00000010"; WHEN "010" => y_out <= "00000100"; WHEN "011" => y_out <= "00001000"; WHEN "100" => y_out <= "00010000"; WHEN "101" => y_out <= "00100000"; WHEN "110" => y_out <= "01000000"; WHEN "111" => y_out <= "10000000"; END CASE; END PROCESS; y <= y_out;

END ARCHITECTURE behave;

请问该程序的本意是要实现什么样的逻辑电路?

六、读VHDL 程序(10分)

阅读下列VHDL 程序,画出电路原理图。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY had IS

PORT ( a : IN STD_LOGIC; b : IN STD_LOGIC; c : OUT STD_LOGIC;

d : OUT STD_LOGIC ); END ENTITY had;

ARCHITECTURE fh1 OF had IS BEGIN

c <= NOT(a NAND b);

d <= (a OR b)AND(a NAND b); END ARCHITECTURE fh1;

七、例化语句编程(10分)

用VHDL 的例化语句实现图6-1电路的逻辑功能。其中与门and_gate 及或门 or_gate 的实体端口如图6-2及6-3所示。写出实现6-1电路的完整的VHDL 程序。

图6-1 图6-2 图6-3

《EDA 技术》A 卷第 5页(共8页) 《EDA 技术》A 卷第 6页(共8页) 装

线

《EDA 技术》A 卷第 7页(共8页)

《EDA 技术》A 卷第 8页(共8页)

八、VHDL编程(12分)

编程实现带置数端及同步置数控制端的8位循环左移移位寄存器,如下图。

当C为1且CLK上升沿时,D7~D0置入移位寄存器,当C为0时,移位寄存器在时钟CLK的控制下循环左移。

《EDA技术》考试试卷标准答案及评分标准

一、选择题(本大题共10小题,每小题2分,共20分)

1.A

2.B

3.B

4.A

5.D

6.B

7.C

8.A

9.B 10.D

二、填空题(本大题共10个空,每空1分,共10分)

1.顶层设计文件名

2.将设计实体的端口和实际器件的引脚对应起来

3.93

4.sof格式

5.参数可设置模块库

6.图形 HDL文本

7.为LPM_ROM各单元准备预存的数据

8.名字关联

9.Q[7..0]

三、简答题(本大题共4小题,每个4分,共16分)

1.VHDL程序的实体名、程序文件名、工程名不一致。

2.File → Create/Update → Creat Symbol File for Current File

3.元件asd的原理图文件asd.bdf没有和元件一起导入新的工程文件夹.

4.CLK'EVENT AND CLK = '0'

CLK'EVENT AND CLK = '0' AND CLK'LAST_VALUE = '1'

CLK = '0' AND CLK'LAST_VALUE = '1'

falling_edge(clk)

四、程序填空(本大题共2小题10个空,每空1分,共10分)

1.STD_LOGIC_1164

CNT10

BEGIN

CLK'ENENT AND CLK = '1'

Q_OUT <= QQ

2.IN

OUT

7

ELSE

B

五、程序改错(12分)

①PORT语句中y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);最后不应有分号(;)。(2分)

②变量定义语句VARIABLE y_out : STD_LOGIC_VECTOR(7 DOWNTO 0);应放在PROCESS与

BIGIN之间。

③PROCESS中的敏感信号应为indata。(2分)

④y_out为变量,CASE语句中的信号赋值符号<=应改为变量赋值符号:=。

⑤END CASE语句前应加上WHEN OTHERS => y_out := "00000000";(2分)

⑥y <= y_out;语句应放在END PORCESS语句前。

另外一种改正方法为:②④⑥中只将②中VARIABLE y_out : STD_LOGIC_VECTOR(7 DOWNTO 0);语句的VARIABLE改为SIGNAL。(②④⑥综合4分)

该程序的本意是要实现“3-8译码器”电路。(2分)

六、读VHDL程序(10分)

程序描述的电路原理图为:

a b c d

评分标准:端口正确2分,每一个门电路正确2分(4个门电路共8分)。

七、例化语句编程(10分)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY zuhc IS

PORT( a,b,c : IN STD_LOGIC;

f : OUT STD_LOGIC );

END ENTITY zuhc;

ARCHITECTURE one OF zuhc IS

SIGNAL t1, t2 : STD_LOGIC ;

COMPONENT and_gate IS

PORT( m, n : IN STD_LOGIC ;

p : OUT STD_LOGIC ) ;

END COMPONENT ;

COMPONENT or_gate IS

PORT( r, s : IN STD_LOGIC ;

q : OUT STD_LOGIC ) ;

END COMPONENT ;

BEGIN

u0 : and_gate PORT MAP( m =>a, n => b, p=> t1 ) ;

u1 : and_gate PORT MAP( m => b, n => c, p=> t2 ) ;

u2 : or_gate PORT MAP( t1, t2, f ) ;

END ARCHITECTURE one ;

评分标准:(1)程序结构2分

(2)元件定义语句 2分(每个1分)

(3)例化语句u0、u1、u2每个2分,共6分

八、VHDL编程(12分)

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY LSHIFT IS

PORT ( CLK, C : IN STD_LOGIC;

D : IN STD_LOGIC_VECTOR( 7 DOWNTO 0 );

Q : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ));

END LSHIFT ;

ARCHITECTURE behav OF LSHIFT IS

SIGNAL REG : STD_LOGIC_VECTOR( 7 DOWNTO 0 ) ; (1分)

BEGIN

PROCESS( CLK, C )

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF C = '1' THEN REG <= D;

ELSE REG( 7 DOWNTO 1 ) <= REG( 6 DOWNTO 0 );

REG(0) <= REG(7);

END IF;

EDN IF;

END PROCESS ; (6分)

Q <= REG; (1分)

END behav;

评分标准:(1)库和包的声明 1分。

(2)实体描述 3分。

(3)结构体描述共8分。其中,中间信号REG定义1分;Q <= REG 1分;置数REG <= D 2分;循环左移实现4分。

龙岩学院 2013~2014第一学期课程表最新

龙岩学院数学与计算机科学学院2013~2014学年第一学期课程表(五)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、11计算机师、11计算机非师第15周停课一周进行网络管理与设计实践;11软工第15周停课一周进行网络与通信课程实践。 教务处二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、12计算机专升本第15周停课一周进行WEB应用开发课程设计;12计算机第16周停课一周进行数据结构课程设计;12软工1、2班第16周停课一周进行面向对 象课程设计。 教务处数计院 二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、13计算机第16周停课一周进行程序设计基础课程设计;13计算机专升本第16周停课一周进行数据库系统原理课程设计 教务处数计院 二○一三年九月

(完整版)武科大EDA试卷及答案

武科大EDA系统设计试卷及答案 一、单项选择题:(20分) 1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_____ D_____。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,____ D _____是错误的。 A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是___C____。 A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。 5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B______。 A.器件外部特性; B.器件的内部功能; C.器件的综合约束; D.器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现____ A ____。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路 7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_____ B____。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A. ①③ ⑤ B. ②③④ C. ②⑤ ⑥ D. ①④⑥ 8.下列标识符中,_____B_____是不合法的标识符。 A. State0 B. 9moon C. Not_Ack_0 D.

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

龙岩学院学生素质综合测评细则(

龙岩学院学生素质综合测评细则 一、思想品德素质测评(15分) 评分方式:自评(10%),十人小组评分(20%)导师评分(70%) 评分细则:十人评分小组(由班长,团支书,学习委员,以及个宿舍宿舍长组成)给每位同学评分,去掉一个最高分、去掉一个最低分,取余下分数的 平均分就是该同学该栏目的得分。(各班级可以另外再找三个可靠且 诚实正直的同学担任工作人员,十人评分小组只要负责对该同学进行 全盘思索以期给予最公正、客观的分数就可以了。) 评分要求:1、对各位同学的“政治素质”、“品德修养”、“学习态度”、“法纪观念”及“劳动实践”五个部分进行综合评分,详细参照学生手册53 页;(好20-19分、一般19-17分、较差17-14分、差14-10分) 2、受到警告以上处分的同学,十人评分小组成员给其打的分数应在 “差(10分以下)”。 3、一学期累计扣分达6分以上的同学,思想品德素质测评应为差; 二、体育素质测评(10分) 。评分要求:1、一般同学起评分为8分;(体育成绩优秀6分,良5.5分,中5分,及格4.5分,不及格4分。竞赛分4分。) 注:班级成员有无参加体育竞赛及获奖情况请体育委员统计。 三、能力素质测评(15分) (1)社会工作能力素质分(5分)(起评分2.5分) 评分要求: 1、积极主动地协助教师、学生干部开展工作或协助组织集体活动的一般同学,可根据工作表现、工作能力、工作效果,酌情评定社会工作能力分0--2分。(由班长和辅导员打分) 2、对于班、院、校干的打分,做两个或多个职务的同学可以累积加分,(即最高职务分+另一职务的1/2)但全部分数加起来超过5分的以5分计。具体如下:(校、院一致) 学生会主席、团委办公室主任、团委副书记加2.5分 副主席、主席助理、办公室副主任、各部部长、青年志愿者协会会长、贴心服务社社长加2分 各部副部长加1.5分 全体普通干事加1分 (班级) 班长、团支书、学习委员加2.5分 组宣科委员、生劳委员、体育委员、心理保健员、文艺委员、舍长加 1分

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

《龙岩学院专业技术职务聘期考核管理办法(试行)》

岩学院人〔2014〕59号 关于印发《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》的通知 各单位: 现将《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》印发给你们,请遵照执行。 附件:1.龙岩学院高校教师类专业技术职务聘期考核基本要求 2.龙岩学院实验技术类专业技术职务聘期考核基本要求 3.龙岩学院图书资料、档案、出版专业类和公共服务类 专业技术职务聘期考核基本要求 龙岩学院 2014年12月23日

龙岩学院教师等专业技术职务聘期 考核管理办法(试行) 根据《福建省人民政府关于进一步支持高校加快发展的若干意见》(闽政〔2012〕47号)、《福建省高校教师等专业技术职务聘任制实施办法(试行)》(闽人〔2012〕206号)和《龙岩学院教师等专业技术职务聘任制实施方案(试行)》等文件精神,结合我校实际,制定本办法。 一、指导思想与基本原则 (一)指导思想 以邓小平理论、“三个代表”重要思想和科学发展观为指导,进一步深化我校人事制度改革,实行教师等专业技术职务聘任考核管理制度,形成职务能上能下、待遇能高能低,有利于优秀人才脱颖而出,人尽其才、充满活力的用人机制。 (二)基本原则 教师等专业技术职务聘期考核管理应遵循如下原则: 1.在公平、公正、公开的环境下进行的原则; 2.有利于完成办学任务和提高教学质量、提升科研和服务社会水平的原则; 3.根据岗位制定不同类别专业技术职务和岗位的考核要求的原则; 4.肯定教师的历史贡献,对具有高级专业技术职务接近退休的教师给予有条件保障的原则; 5.严格按照工作任务要求进行考核管理的原则。 二、实施范围与对象 1.聘任在教师等专业技术职务的人员; 2.具有由省级主管部门组织评审的专业技术职务资格但未

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

EDA期末考试题大全

附带: 一.问答题 1信号赋值语句在什么情况下作为并行语句?在什么情况下作顺序语句?信号赋值和变量赋值符号分别是什么?两种赋值符号有什么区别? ●信号赋值语句在进程外作并行语句,并发执行,与语句所处的位置无关。信号赋值语句在进程内 或子程序内做顺序语句,按顺序执行,与语句所处的位置有关。 ●信号赋值符号为“<=”变量赋值用“:=”。信号赋值符号用于信号赋值动作,不立即生效。 变量,赋值符号用于变量赋值动作,立即生效。 2进程的敏感信号表指的是什么?简述敏感信号表在进程中的作用? ●进程的“敏感信号表”也称敏感表,是进程的激活条件,可由一个或多个信号组成,各信号 间以“,”号分隔。当敏感信号表中的任一个信号有事件发生,即发生任意变化,此时,进程被激活,进程中的语句将从上到下逐句执行一遍,当最后一条语句执行完毕之后,进程即进入等待挂起状态,直到下一次敏感表中的信号有事件发生,进程再次被激活,如此循环往复。 3什么是库、程序包、子程序、过程调用和函数调用? ●库和程序包用来描述和保存元件、类型说明和子程序等,以便在其它设计中通过其目录可查询、 调用。子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数只能返回一个变量。若子程序调用的是一个过程,就称为过程调用,若子程序调用的是一个函数,则称为函数调用。过程调用、函数调用都是子程序调用。 二.改错题 1.已知sel为STD_LOGIC_VECTOR(1 DOWNTO 0)类型的信号,而a、b、c、d、q均为STD_LOGIC类型的 信号,请判断下面给出的CASE语句程序片段:

●CASE sel IS ●WHEN“00”=>q<=a; ●WHEN“01”=>q<=b; ●WHEN“10”=>q<=c; ●WHEN“11”=>q<=d; ●END CASE; ●答案:CASE语句缺“WHEN OTHERS”语句。 2.已知data_in1, data_in2为STD_LOGIC_VECTOR(15 DOWNTO 0) 类型的输入端口,data_out为STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输出端口,add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段: ●LIBRARY IEEE; ●USE IEEE.STD_LOGIC_1164.ALL; ●ENTITY add IS ● PORT(data_in1, data_in2:IN INTEGER; ● data_out:OUT INTEGER); ●END add; ●ARCHTECTURE add_arch OF add IS ●CONSTANT a:INTEGER<=2; ●BEGIN ●data_out<=( data_in1+ data_in2) * a; ●END addsub_arch; 答案:常量声明时赋初值的“<=”符号应改用“:=”符号。 3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段: ●ARCHITECTURE test_arch OF test IS ●BEGIN ●SIGNAL B:STD_LOGIC; ●Q<= B; END test_arch 答案:信号SIGNAL的声明语句应该放在BEGIN语句之前。 4.已知A和Q均为BIT类型的信号,请判断下面的程序片段: ●ARCHITECTURE archtest OF test IS ●BEGIN ●CASE A IS ●WHEN ‘0’=>Q<=‘1’; ●WHEN ‘1’=>Q<=‘0’; ●END CASE; ●END archtest; 答案:CASE语句应该存在于进程PROCESS内。 三.程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

龙岩学院关于修订各专业培养方案的指导意见

龙岩学院关于修订各专业培养方案的指导意见培养方案是高等教育人才培养模式的具体化,是人才培养的重要蓝图,是课程体系改革的体现,是培养学生素质和提升学生专业水平的框架,是保证教学质量的基础,是组织教学过程、安排教学任务、规定学习任务的根本依据,是学校教学管理和教学运行的重要文本。为更好地适应高等教育的改革与发展,根据教育部《关于普通高校修订本科专业培养方案的原则意见》和福建省教育厅《福建省高等学校教学常规管理规范》的精神,结合我校的具体情况,现按学分制教学管理的要求,对我校各专业培养方案的修订工作提出以下意见: 一、指导思想 专业培养方案的修订工作要以邓小平理论和“三个代表”的重要思想、科学发展观为指导,全面体现“教育要面向现代化、面向世界、面向未来”的时代精神,坚定不移地贯彻落实党的教育方针,遵循高等教育、教育工作的基本规律,以21世纪高等教育发展为先导,积极地吸收高等教育在教育思想与教育观念、教学内容与课程体系、教学方法与教学手段等方面取得的成果,以改革和创新的精神,构建适应社会人才多元化和学生发展多元化需要的人才培养体系,培养德、智、体、美全面发展的专门人才。师范类专业毕业的学生还必须具有现代教育理念,懂得教育学、心理学基本知识,掌握现代教育技术,适应基础教育改革和发展的需要。 二、基本原则 (一)培养方案的修订要坚持知识、能力、素质协调发展和综合提高的原则,使学生在德、智、体、美等方面得到更好的全面发展,重点是要为学生构设一个合理的知识、能力、素质结构。为此,第一、在知识、能力、素质的关系上,要强调加强学生的全面素质培养,要在重视知识传授的基础上,大力加强学生获取知识、提出问题、分析问题和解决问题的能力培养,要将知识、能力内化为素质;第二、在基础教学与专业教学的关系上,要强调拓宽基础教学的内涵,改变教育内容偏窄、偏专的倾向,要加强包括自然科学基础和人文科学基础在内的基础知识、基本理论、基本技能的教学和基本素质的培养,采取多种形式加强文化素质教育,使学生通过学习能够构建起可适应终身教育及社会发展变化需要的知识、能力结构和基本素质;第三、要加强和改进培养学生创造性思维的教学环节,把培养学生创新思维能力融合于教学的全过程之中。 (二)培养方案的修订要充分体现整体优化的原则,科学地处理好各教学环节的关系。首先,要进行课程整合,根据培养目标构建融会贯通、紧密配合、有机联系的课程体系,改变内容陈旧、分割过细和简单拼凑的状况,避免脱节和不必要的重复,防止“因人设课”和“因无人而不设课”的情况出现,加强同类专业课程内容和体系上统筹和协调;其次,要处理好理论教学与实践教学的关系,加强教学科研和社会实践的有机结合,丰富实践教学内容、方式和途径。第三、要处理好课内教学和课外指导的关系,改变单纯重视课堂教学的倾向,通过

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

(完整)EDA试题及答案,推荐文档

2013年电子系统设计考试试题--考试时间21号56节--公共409 一、填空题 1. Verilog的基本设计单元是模块。它是由两部分组成,一部分描述接口;另一部分描述逻辑功能,即定义输入是如何影响输出的。 2. 用assign描述的语句我们一般称之为组合逻辑,并且它们是属于并行语句,即于语句的书写次序无关。而用always描述的语句我们一般称之为组合逻辑或时序逻辑,并且它们是属于串行语句,即于语句的书写有关。 3.在case语句中至少要有一条default语句. 4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,而y的4位的补码为4’b0110 . 5. 两个进程之间是并行语句。而在Always中的语句则是顺序语句。 二、简答题 1. 怎样理解在进程语句中,阻塞语句没有延迟这句话? 答:这是因为在进程语句中,有阻塞语句和非阻塞语句这两种,非阻塞语句是有延迟的,而阻塞语句也是有延迟的,这是因为因果系统都有延迟,只是阻塞语句的延迟比非阻塞语句的延迟小于若干个数量级,因此可视为没有延迟。 2.在进程中什么情况下综合为时序电路?什么情况下综合为组合电路? 答:在进程中,只有当敏感信号是边沿触发(即上升沿或下降沿)时,此时综合为时序电路;而在进程中只有当敏感信号是电平沿触发时,此时综合为组合电路。 3.为什么在Verilog语言中,其综合只支持次数确定的循环,而不支持次数不确定的循环? 答:这是因为,在Verilog语言中,它是为电路设计而设计的一门语言,它与高级语言不同,若循环的次数不确定,则会带来不确定的延迟,而这在电路中是不允许存在的,故综合只能支持次数确定的循环,即对于一个具体的芯片,其延迟只是一个定值。 4.Verilog HDL语言进行电路设计方法有哪几种? 答:①自上而下的设计方法(Top-down);②自下而上的设计方法(Bottom-Up) ③综合设计的方法。 5.specparam语句和parameter语句在参数说明方面不同之处是什么? 答:1.specparam语句只能在延时的格式说明块(specify)中出现,而parameter语句则不能再延时说明块内出现。 2.由specparam语句进行定义的参数只能是延时参数,而由parameter语句定义的参数可以是任何数据类型的参数。 3.由specparam语句定义的延时参数只能在延时说明块内使用,而由parameter语句定义的参数则可以在模块内(该parameter语句之后)的任何位置说明。 三、选择题: 1、下列标示符哪些是合法的(B) A、$time B、_date C、8sum D、mux# 2、如果线网类型变量说明后未赋值,起缺省值是(D) A、x B、1 C、0 D、z 3、现网中的值被解释为无符号数。在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A) A、4’b1101 B、4’b0011 C、4’bxx11 D、4’bzz11 4、reg[7:0] mema[255:0]正确的赋值是(A) A、mema[5]=3’ d0, B、8’ d0; C、1’ b1; D、mema[5][3:0]=4’ d1 5、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是( D) module code(x,y); module top; paramee delay1=1,delay2=1; …………….

EDA期末试卷

《EDA》期末试卷 一、选择题(每小题3分,共30分) 1、在下图中,F0的逻辑关系为: A.A0⊕A1 B.A0⊙A1 C.A0·A1 D.A0·A1 2、在VHDL中,用语句()表示clock的下降沿。 A.clock='1' B.clock'EVENT AND clock='1' C.clock='0' D.clock'EVENT AND clock='0' 3、如果p1=’1’,p2=’1’,则执行z <= a WHEN p1 = '1' ELSE b WHEN p2 = '1' ELSE c ;之后z 的值为: A.a B.b C.c D.不确定 4、进程中的信号赋值语句,其信号更新是: A.按顺序完成 B.比变量更快完成 C.在进程最后完成 D.都不对 5、不完整的IF语句,其综合结果可实现: A.时序逻辑电路 B.组合逻辑电路 C.双向电路 D.三态控制电路 6、reg的数据类型为std_logic_vector(7 downto 0),初值为FF,执行reg(0)<=’0’;reg(7 downto 1)<=reg(6 downto 0);之后,reg的值为: A.FF B.FE C.FC D.FD 7、在VHDL中()不能将信息带出对它定义的当前进程。 A. 信号 B. 常量 C. 数据 D. 变量 8、执行下列语句后Q的值等于: …… SIGNAL E: STD_LOGIC_VECTOR (2 TO 5); SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2); …… E<=(2=>’1’, 4=>’1’, OTHERS=>’0’); Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); …… A.”11011011” B.”00110100” C.”11011001” D.”00101100” 9、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。 A.idata <= “00001111”; B.idata <= b”0000_1111”; C.idata <= X”AB”; D.idata <= B”21”; 10、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。

EDA考试题目+答案

简答: 1.VHDL中变量与信号的主要区别 一、变量是一个局部量,只能在进程和子程序,无延时,立即发生,主要作用是在进程中作为临时的数据存储单元。 从VHDL语句功能和行为仿真来看,信号与变量的差异主要表现在接受信息的方式和信息保持与传递的区域大小上。 (1)如:信号可以设置传输延迟量,而变量则不能; (2)如:信号可作为模块间的信息载体,如在结构体中个进程间传递信息;变量只能作为局部的信息载体,如只能在所定义的进程中有效。 (3) 变量的设置有时只是一种过渡,最后的信息传输和界面间的通信都是靠信号来完成综合后的信号将对应更多的硬件结构。 2.ASIC、FPGA、EDA、ISP的含义 ASIC:专用集成电路FPGA:可编程逻辑器件EDA:电子设计自动化ISP:因特网服务提供商 3.常用的库的名称(IEEE STD WORK VITAL) 5.进程语句的特点 (1)进程与进程,或其它并行语句之间的并行性,体现硬件电路并行运行特征。 (2)进程内部的顺序语句具有顺序与并行双重性。顺序行为体现硬件的逻辑功能,并行行为体现硬件特征。 进程内部使用顺序语句,对一个系统进行算法、行为和逻辑功能进行描述,可以具有高抽象性的特点,可以与具体的硬件没有关联。 这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS语句在综合后所对应的硬件逻辑行为也同样具有顺序性。

VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。 (3)进程有启动与挂起两种状态。 (4)进程与进程,或其它并行语句之间通过信号交流。 (5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。 6.实体定义时端口方向OUT与BUFFER有何不同? OUT:输出端口。定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。 BUFFER:缓冲端口。其功能与INOUT类似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。 如:在计数器的设计中,将计数器输出的计数信号回读,作为下一次计数的初值。 与OUT模式相比,BUFFER回读信号不是由外部输入的,而是由内部产生、向外输出信号。 即OUT结构体内部不能再使用,BUFFER结构体内部可再使用。

龙岩学院授予学士学位工作实施细则(试行)

龙岩学院授予学士学位工作实施细则(试行)(2009.9.1修订) 时间:2009-10-13 10:23:43 来源:龙岩学院教务处阅读832次 (二OO九年九月一日修订) 为做好我校学士学位授予工作,保证学士学位授予质量,根据《中华人民共和国学位条例》和《福建省普通高等学校学士学位授予工作暂行办法》,结合我校实际,特制定本细则。 一、学位评定委员会的组成: 校学位评定委员会由十五至二十五人组成,每届任期三年,设主席一人,副主席若干人,委员会成员原则上由具有副高以上(含副高)职称的人员组成。各院(系)成立学位评定分委员会,学位评定分委员会由五至九人组成,每届任期二年,设主席一人,副主席二人,委员会成员原则上由具有副高以上(含副高)职称的人员组成,分委员会主席必须由校学位评定委员会委员担任。 二、校学位评定委员会的职责: 1、根据学位条例的规定,审议学士学位授予专业。 2、审批各院(系)学位评定分委员会成员名单。 3、审查通过学士学位获得者名单。 4、处理授予学士学位工作中的争议和其他事项。 三、院(系)学位评定分委员会职责: 1、审批毕业论文(设计)等答辩委员会成员名单。

2、按学位授予条件对本科毕业生的政治思想表现、课程成绩、毕业实习(教育实习)和毕业论文(设计)等进行审查,提出拟授予学士学位的学生名单和拟不授予学士学位的学生名单。 3、向校学位评定委员会反映有关授予学位的争议问 题,并提 出处理意见。 4、处理校学位评定委员会授权办理的有关事项。 四、校学位评定委员会下设办公室,负责学位评定委员会的有关工作,办公室设在教务处。 五、授予学士学位的条件: 1、本科毕业的学生,拥护中国共产党的领导,遵纪守法,遵守学术道德规范。 2、较好地掌握本门学科的基础理论、专业知识和基本技能,具有从事教学、科学研究或担负专业技术工作的初步能力。 3、毕业实习(教育实习)、毕业论文(设计)的成绩达中等(含中等)以上。 六、有下列情形之一者,不授予学位: 1、因考试违纪受到留校察看处分者; 2、经重修才获得的学分超过28学分者。 七、学士学位审批按以下程序进行: 1、各院(系)学位评定分委员会根据授予学士学位授

EDA期末考试试卷及答案

一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MA TLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR 语句 11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程

龙岩学院大地测量学与测量工程

龙岩学院大地测量学与测量工程 重点学科 自 评 报 告 二0一0年四月

大地测量学与测量工程属于测绘科学与技术的三个二级学科之一,我校该学科下招收的测绘工程专业是我省当时唯一的测绘类本科专业(闽江学院已于2007年开始招收该专业本科生),在校院两级领导的关心和指导下,几年来,经过该学科全体教师的共同努力,学科建设按计划进展顺利。现结合近几年来测量教研室在重点学科建设中的发展历程报告如下,请各位专家审阅。 一、学术队伍整体教研、科研能力较强,发展趋势良好 1、学科带头人 大地测量学与测量工程学科负责人陈绍杰副教授,1988年中国矿业大学矿山测量专业本科毕业,2007年至今在山东科技大学在职攻读硕士学位,2001年取得高级讲师职称,2003年取得副教授资格,中国测绘学会矿山测量委员会委员、福建省测绘学会理事。现任龙岩学院资源工程学院党总支书记,具有较强的教学、科研和管理能力。系统讲授了本科生的《测量平差》、《测绘学概论》、《遥感原理与应用》等课程,教学效果好。近4年来,以第一作者在测绘工程权威刊物上发表论文6篇,与他人合作发表论文1篇,出版学术专著1部,作为主要人员参加国土环境与灾害监测国家测绘局重点试验室开放式基金项目1项,主持地市级科研课题5项。近三年可支配科研经费共13万,年均科研经费4.3万。 2、专业教师队伍 经过几年的努力,测绘专业的师资力量得到了增强,教师队伍的专业结构、职称结构、学历结构、年龄结构趋于合理,学术梯队已基本形成,能较好地满足教学和科研的需要。教师的学缘组成良好,8位专业教师分别毕业于中国矿业大学、西安工程学院、江西理工大学、山东科技大学。全日制本科学生163名,生师比为20.38:1。 现有8名专任教师都从事测绘科学与技术学科的教学与科研工作,其中具有硕士学历(或学位)教师2人,占25%;2名教师在职攻读硕士学位,占25%;教师中副高职称3人、中级职称3人、初级职称2人,百分比分别为37.5%、37.5%、25%。 教师年龄结构:中年(36~55岁)5人,占62.5%;青年(35岁以下)3人,占37.5%。教师年龄结构较好,中年教师占多数,教学经验丰富。 注重中、青年教师的培养,鼓励中、青年教师考研、进修,同时,每位年轻教师均安排资历较深、经验丰富的教师作为他们的导师,担任教学和科研的指导,学科学术梯队已基本形成。 3、实验教学队伍 目前测绘工程专业实验教师都由兼职教师组成,各课程实验主要由任课教师负责,实验室管理主要由2位实验系列职称教师负责,8名兼职实验教师中有副教授3名、讲师2名、助教1名、实验师1名、助理实验师1名。

相关文档
最新文档