福州大学微电子卓越班数字集成电路课程设计报告

数字集成电路课程设计

题目:4 bits超前加法进位器的全定制设计姓名:席高照

学号:111000833

学院:物理与信息工程学院

专业:微电子(卓越班)

年级:2010级

指导教师:陈群超(签名)

2013 年 6 月 3 日

目录

第1章概述 (1)

1.1课程设计目的 .................................................. 错误!未定义书签。

1.2课程设计的主要内容 ............................................ 错误!未定义书签。

1.2.1设计题目.................................................. 错误!未定义书签。

1.2.2设计内容.................................................. 错误!未定义书签。第2章功能分析及逻辑分析.. (2)

2.1功能分析 (2)

2.2推荐工作条件 (3)

2.3电性能 (8)

2.4真值表......................................................... 错误!未定义书签。

2.5表达式 (6)

2.6电路图.............................................................. 错误!未定义书签。第3章电路设计与器件参数设计 .. (8)

3.1性能指标:..................................................... 错误!未定义书签。

3.2模块划分 (8)

3.2.1输出级电路设计 (8)

3.2.2内部反相器 (9)

3.2.3内部电路等效 (9)

3.2.4输入级电路 (10)

3.2.5输出缓冲级电路 (10)

3.2.6输入、输出保护电路 (10)

3.3本章小结 (11)

第4章电路模拟与仿真............................................. 错误!未定义书签。

4.1电路搭建 (12)

4.1.1建立新库 (12)

4.1.2建立SCHEMATIC VIEW (13)

4.1.3建立SYMBOL................................................. 错误!未定义书签。

4.1.4建立总体电路SCHEMATIC VIEW (14)

4.1.5建立总体SYMBOL (15)

4.1.6测试电路 (16)

4.2功能仿真 (16)

4.3功耗仿真 (18)

4.4仿真结果分析 (18)

4.5本章小结...................................................................................................................................... 18第5章

版图设计................................................... 错误!未定义书签。

5.1原理 (19)

5.2反相器版图 (19)

5.3输入级 (20)

5.4输出级 (20)

5.5输出缓冲 (20)

5.6异或门 (21)

5.7或非门 (23)

5.8与非门......................................................... 错误!未定义书签。

5.9整体版图 (25)

5.10本章小结 (25)

心得 (26)

参考文献 (27)

附录 (28)

附录174LS283中文资料 (28)

4bit 超前进位加法器的全定制设计

第1章 概 述

1.1 课程设计目的

?

综合应用已掌握的知识 ?

熟悉集成电路设计流程 ?

熟悉集成电路设计主流工具 ?

强化学生的实际动手能力 ?

培养学生的工程意识和系统观念 ? 培养学生的团队协作能力

1.2 课程设计的主要内容

1.2.1 设计题目

4bits 超前进位加法器全定制设计

1.2.2 设计要求

Vdd=1.8V ,V oH=4.6V ,V ol=0.4V

可驱动10个LSTTL 电路(相对于15pf 电容负载)

1r f t t ns ==

/6TLH THL t ns =

()/10PLH PHL i i i t A B C Co ns

→= ()/12PLH PHL i i i i t A B C S ns →=

32,25dis work P mW f MHz <=

1.2.3 设计内容

?

功能分析及逻辑分析 ?

电路设计及器件参数设计 ?

估算功耗与延时 ?

电路模拟与仿真 ? 版图设计

? 版图数据提交及考核,课程设计总结

福州大学数字集成电路课程设计(报告)

第2章功能分析及逻辑分析

2.1功能分析

74283为4为超前进位加法器,不同于普通串行进位加法器由低到高逐级进位,超前进位加法器所有位数的进位大多数情况下同时产生,运算速度快,电路结构复杂。其管脚图如下:

图2-1

74283芯片的引脚功能表:

表2-1 74283引脚功能

4bit超前进位加法器的全定制设计2.2 推荐工作条件

表2-2 SMIC 0.18工艺工作条件

2.3 电特性

表2-3-1 直流工作规格

福州大学数字集成电路课程设计(报告)

4bit超前进位加法器的全定制设计

福州大学数字集成电路课程设计(报告)2.4 真值表

表2-4 真值表

2.5 表达式

定义两个中间变量Gi和Pi:

所以:

进而可得各位进位信号的罗辑表达如下

基于VC++实现单片机和PC机的串行通信2.6 电路图

图2-2

福州大学数字集成电路课程设计(报告)

第3章 电路设计及器件参数设计

3.1性能指标

Vdd=1.8V ,V oH=4.6V ,V ol=0.4V

可驱动10个LSTTL 电路(相对于15pf 电容负载)

1r f t t ns ==

/6TLH THL t ns =

()/10PLH PHL i i i t A B C Co ns

→= ()/12PLH PHL i i i i t A B C S ns →=

32,25dis work P mW f MHz <=

3.2模块划分

根据电路原理,可以将加法器的电路分为五级:输入级、内部反相器、内部逻辑门、输出级和输出缓冲级。

3.2.1输出级电路设计

其中

15L C pF =

VDD=1.8V 联立可求得

Wn=18.7u ≈19u

WP=3.93Wn=73.9u ≈74u

L=0.18u

福州大学本科生毕业设计(论文)

3.2.2内部反相器

其中

? Tr=Tf=1ns ,为负载电容

? 一般来说,内部反相器的负载由三个部分电容构成,分别是:

本级漏极的PN 结电容Cpn

下级的栅电容Cg

连线杂散电容Cs

()(2)PN j jsw C C W B C W B =??+?+

Cj 是单位面积的结电容,Cjsw 是单位长度的周边电容,b 为有源区宽度,这里取0.3um 。

所以 9162.0210 1.070410PN N C W --=?+?

Cg=(Wn+Wp)·L ·Cox= 150.7pF

这里的Wn 和Wp 近似取输出级的Wn 和Wp 的值

一般情况下,连线杂散电容远小于栅电容,故本次设计忽略Cs 的影响

综合上述三部分的电容量,可以得到内部反相器的负载

9132.0210 1.50810L N C W --=?+?

由于tr=tf ,由公式可近似认为

3.93N P N N P u W W W L u L L ??????== ? ? ???????

由tr=tf=1ns ,

可得

Wn=0.1887um ,取Wn=0.22um ,则Wp=0.75um

3.2.3内部电路等效

内部逻辑门的设计采用与非门的等效反相器设计,也就是根据晶体管的串并联关系,再根据等效反相器中相应晶体管的尺寸,直接获得与非门的各晶体管的尺寸的方法。

以两输入与非门为例:

? P 管的W/L 的计算

将两输入与非门的两个并联P 管等效为内部反相器的P 管,为保证在只有一个PMOS

福州大学数字集成电路课程设计(报告)

管导通的情况下,仍能获得所需要的上升时间,要求各PMOS 管的宽长比与反相器中的PMOS 管相同,即Wp=0.75um

? N 管的W/L 的计算

考虑到N 管的串联结构,为保持下降时间不变,各N 管的等效电阻必须缩小3倍,也就是它们的宽长比必须是反相器中的管的宽长比的3倍,即Wn=0.66um

同理可得其他门的管子的尺寸。

3.2.4输入级电路

? 提拉管PM1的(W/L)的计算

为了节省面积,同时又能使较快上升,取(W/L)=3,此处的L=0.18um ,即W=0.54um 。 ? CMOS 反相器PM0管(W/L)的计算

这个管的(W/L)可以参考内部反相器的计算过程,这里取(W/L)=0.75um/0.18um 。 ? CMOS 反相器N 管(W/L)的计算

由于要与兼容,而的输出电平在0.2V 到2V 之间,因此要选取反相器的转换电平为

,max ,min

1.12it it it V V V V +==

另外,由半导体器件物理知识可知:

算出 0 5.68P N K K β== 所以 6.24W L ??≈ ???所以 1.13/0.18W um um L ??≈ ???

3.2.5输出缓冲级电路

由于输出级要驱动TTL 电路,故输出级部分要在输出级前加入一级缓冲级电路。 如图所示,将与输出级的异或门和或非门等效为一个反相器,与中间级缓冲级电路计算相类似,可以算得缓冲级N 、P 管的尺寸。

N=(43+92)/(2.5+5)=18

(W/L)n=√18=0.77um/0.18um

(W/L)p=3.93√18=3um/0.18um

3.2.6输入、输出保护电路

因为MOS 器件的栅极有极高的绝缘电阻,当栅极处于浮置状态时,由于某种原因,感应的电荷无法很快地泄放掉。而MOS 器件的栅氧化层极薄,这些感应的电荷使得MOS 器件的栅与衬底之间产生非常高的电场。该电场强度如果超过栅氧化层的集成极限,则发生栅击穿,使MOS 器件失效,因此要设置保护电路。

保护电路,采用标准形式,可从工艺文件中直接调用标准焊盘电路。

福州大学本科生毕业设计(论文)

3.3本章小结

通过本次实验,我了解了集成电路设计时候的电路划分,了解了在不同位置需要有什么样的管子,如输入输出要有保护电路,驱动较大的负载需要设计较大尺寸的管子。同时我还掌握了不同管子的尺寸的计算方法,以及电容的计算方法。

福州大学数字集成电路课程设计(报告)

第4章电路模拟与仿真4.1电路搭建

4.1.1建立新库

图4-1

福州大学本科生毕业设计(论文)4.1.2建立schematic view

图4-2

图4-3

福州大学数字集成电路课程设计(报告)4.1.3建立symbol

图4-4

其它逻辑门电路同样过程建立schematic view和symbol view。

4.1.4建立总体电路schematic view

图4-5

福州大学本科生毕业设计(论文)4.1.5建立总体symbol

图4-6

福州大学数字集成电路课程设计(报告)

4.1.6测试电路

图4-7

4.2功能仿真

图4-8

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

福州大学模拟电路课程设计报告

模拟电路课程设计报告 设计课题:程控放大器设计 班级:电子科学与技术 姓名:1111111 学号:1111111 指导老师:杨 设计时间:2015年6月24日~26日 学院:物理与信息工程学院

目录 一、摘要及其设计目的 (3) 二、设计任务和要求 (4) 三、方案论证及设计方案 (5) 四、单元电路的设计、元器件选择和参数计算 (8) 五、总体电路图,电路的工作原理 (10) 六、组装与调试,波形电路实际图及数据 (12) 七、所用元器件及其介绍 (16) 八、课程设计心得与体会 (18)

一、摘要 本次课程设计的目的是通过设计与实验,了解实现程控放大器的方法,进一步理解设计方案与设计理念,扩展设计思路与视野。程控放大器的组成结构:1.利用3个运放OP07构成的耳机放大电路;2.芯片CD4051八位的选择器通过片选端的控制调节R1电阻值的大小,从而改变放大倍数。实现最大放大60db的目的。 A summary The purpose of this course design is to design and experiment, to understand the method of program control amplifier, to further understand the design scheme and design concept, to expand the design idea and the visual field. The structure of programmable amplifier: 1. The three operational amplifier OP07 constitute the headset amplifier circuit; chip CD4051 eight selector through the chip selection terminal control regulating resistor R1 value of size, thus changing the magnification. The purpose of achieving maximum amplification of 60db.

福州大学集成电路应用实验二-参考模板

《集成电路应用》课程实验实验二锁相环综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验二锁相环综合实验 一、实验目的: 1.掌握锁相环的基本原理。 2.掌握锁相环外部元件的选择方法。 3.应用CD4046锁相环进行基本应用设计。 二、元件和仪器: 1.CD4046 2.函数信号发生器 3.示波器 4.电阻、电容若干 5.面包板 三、实验原理: 1.锁相环的基本原理。 锁相环最基本的结构如图所示。它由三个基本的部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。 锁相环工作原理图 鉴相器是个相位比较装置。它把输入信号Si(t)和压控振荡器的输出信号So(t)的相位进行比较,产生对应于两个信号相位差的误差电压Se(t)。 环路滤波器的作用是滤除误差电压Se(t)中的高频成分和噪声,以保证环路所要求的性能,增加系统的稳定性。

压控振荡器受控制电压Sd(t)的控制,使压控振荡器的频率向输入信号的频率靠拢,直至消除频差而锁定。 锁相环是个相位误差控制系统。它比较输入信号和压控振荡器输出信号之间的相位差,从而产生误差控制电压来调整压控振荡器的频率,以达到与输入信号同频。在环路开始工作时,如果输入信号频率与压控振荡器频率不同,则由于两信号之间存在固有的频率差,它们之间的相位差势必一直在变化,结果鉴相器输出的误差电压就在一定范围内变化。在这种误差电压的控制下,压控振荡器的频率也在变化。若压控振荡器的频率能够变化到与输入信号频率相等,在满足稳定性条件下就在这个频率上稳定下来。达到稳定后,输入信号和压控振荡器输出信号之间的频差为零,相差不再随时间变化,误差电压为一固定值,这时环路就进入“锁定”状态。这就是锁相环工作的大致过程。 2.CD4046芯片的工作原理。 CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V -18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。 CD4046锁相的意义是相位同步的自动控制,功能是完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如下所示。 4046组成框图

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

福州大学钢筋混凝土结构课程设计计算书

《钢筋混凝土结构》 课程设计 题目:现浇钢筋混凝土肋梁楼盖设计 目录

1.课程设计目的 (3) 2.设计资料 (3) 3.设计内容 (4) 3.1确定结构布置方案 (4) 3.2板的设计 (5) 3.3次梁的设计 (7) 3.4主梁的设计 (11) 4.结束语 (18) 一. 课程设计目的

水工钢筋混凝土结构课程设计是水工钢筋混凝土结构教学计划中一个重要的实践性教学环节,对培养和提高学生的水工结构设计基本技能,启发学生对实际结构工作情况的认识和巩固所学的理论知识具有重要作用。 1).通过课程设计训练,了解水工钢筋混凝土结构设计的一般程序和内容,为毕业设计以及今后从事实际水工结构设计工作奠定初步基础。 2).复习巩固加深所学的钢筋混凝土基本构件中受弯构件和钢筋混凝土梁板结构设计等章节的理论知识。 3).掌握钢筋混凝土肋梁楼盖的一般设计方法,诸如: ①进一步理解单向板肋梁楼盖的结构布置、荷载传递途径和计算简图; ②掌握弹性理论的设计方法; ③掌握内力包络图和抵抗弯矩图的绘制方法; ④了解构造设计的重要性,掌握现浇梁板的有关构造要求; ⑤掌握现浇钢筋混凝土结构施工图的表示方法和制图规定; 4).学习结构施工图的绘制和结构计算书的编制方法,培养查阅技术规范和工程手册的能力,合理确定设计参数。 二、设计资料 1、某水力发电厂副厂房楼盖,采用钢筋混凝土梁板,其平面尺寸如图1所示。 图1 水力发电厂副厂房楼盖平面图 2、楼面活载标准值q=5.5 KN/m。 3、墙体厚度370mm,结构横向长21.6m,结构纵向长28.5m,楼梯位于该层平面的外部,本设计不予考虑。楼盖采用整体式单向板肋形结构。 4、该建筑位于非地震区。 5、建筑物安全级别为二级。

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

课程设计77297

1. 课程设计目的: 《专业课程设计》是面向生物技术方向高年级学生的综合性课程。目的是使学生进一步巩固加深所学的基础理论、基本技能和专业知识,使之系统化、综合化;培养学生独立工作、独立思考并运用已学的基本理论和知识解决实际问题的能力;培养学生的科学素质,提高学生的抽象思维能力、加强培养学生自己获取知识和更新知识的能力。本课程通过应用生物信息学的方法,从公用数据库上收集自己所需的数据,并用计算机进行处理,从而获取自己所需要的信息。 独立于另外两个专业课设,此次课设主要应用生物信息学的方法,通过网络资源挖掘所需的核酸与蛋白质的秘密,完成所给任务。 2. 课程设计题目选择: 2.1课设题目选择: 此次课程设计包括两个方面(任选一个):为核酸和蛋白质。前者为在人的基因组中,搜索可能的新基因。后者为应用网络资源对所感兴趣蛋白质进行分析,分析其结构与功能关系或对一未知功能的蛋白质进行功能预测与分析。蛋白质直接关系表达结果问题,研究意义重大。分析蛋白质结构、功能及其关系是蛋白质组计划中的一个重要组成部分。研究蛋白质结构,有助于了解蛋白质的作用,了解蛋白质如何行使其生物功能,认识蛋白质与蛋白质(或其它分子)之间的相互作用,这无论是对于生物学还是对于医学和药学,都是非常重要的。对于未知功能或者新发现的蛋白质分子,通过结构分析,可以进行功能注释,指导设计进行功能确认的生物学实验。通过分析蛋白质的结构,确认功能单位或者结构域,可以为遗传操作提供目标,为设计新的蛋白质或改造已有蛋白质提供可靠的依据,同时为新的药物分子设计提供合理的靶分子结构。 2.2 课设题目确定: 上学期被选进福州大学生物工程研究所细胞组,承担对毕赤酵母发酵的 PTD(TAT)-SOD蛋白分子即PS2蛋白分子性质的表征。经过凝胶过滤层析技术和SDS-PAGE,糖蛋白的BSA染色,多糖电泳确定了PS2在分子结构特征上存在多聚体、和糖基化现象。为此想以此课程设计为契机,对PS2蛋白分子进行生物信息学的分析进一步评价PS2蛋白结构和功能的关系。本文借助从UnProt蛋白数据库中搜集到足够数量和不同物种来源Cu,Zn-SOD;运用生物信息学软件进行序列比对,和绘制进化树。对所得结果进行分析。然后用得到的保守序列作为出发序列搜索相关数据库,(例如PROSITE数据库),从而分析该序列的功能和结构信息,及功能和结构的关系,并对PS2蛋白分子进行基于一级结构的物理化学性质和生物化学性质、二级结构信息等进行预测。 3.PS2蛋白简介 人铜,锌超氧化物歧化酶(hCuZn-SOD)是一种重要的胞内酶,对机体的氧化和抗氧化平

大规模集成电路应用

《大规模集成电路应用》论文姓名:谭宇 学号: 20104665 学院: 计算机与信息工程学院 专业班级: 自动化3班

大规模集成电路的体会 摘要:信息飞速发展时代,半导体、晶体管等已广泛应用,大规模集成电路也 成为必要性的技术,集成电路诞生以来,经历了小规模(SSI)、中规模(MSI)、大规模(LSI)的发展过程,目前已进入超大规模(VLSI)和甚大规模集成电路(ULSI)阶段,进入片上系统(SOC)的时代。 关键字:大规模集成;必要性;体会; 1 大规模集成的重要性 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 2 集成电路测试的必要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。 作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。 一款新的集成电路芯片被设计并生产出来,首先必须接受验证测试。在这一阶段,将会进行功能测试、以及全面的交流(AC)参数和直流(DC)参数的测试等,也可能会探测芯片的内部结构。通常会得出一个完整的验证测试信息,如芯片的工艺特征描述、电气特征(DC参数、AC参数、电容、漏电、温度等测试条件)、时序关系图等等。通过验证测试中的参数测试、功能性测试、结构性测试,可以诊断和修改系统设计、逻辑设计和物理设计中的设计错误,为最终规范(产品手册)测量出芯片的各种电气参数,并开发出测试流程。 当芯片的设计方案通过了验证测试,进入生产阶段之后,将利用前一阶段设

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

模电课程设计(完整)

模拟电路课程设计指导书福州大学物理与信息工程学院

目录 一.模拟电子电路设计方法 (2) 1、总体方案的设计与选择 (3) 2.单元单路的设计与选择 (3) 3.元器件的选择与参数计算 (4) 4.总体电路图设计 (7) 5.电子电路的安装与调试 (9) 6.设计报告的撰写 (14) 设计一1W扩音机课程设计 (15) 设计二音响放大器设计 (20) 设计三程控放大器设计 (23) 设计四函数信号发生器电路设计 (24)

一.模拟电子电路设计方法 电子电路设计一般包括拟定性能指标、电路的预设计、实验和修改设计等环节。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的余量;电路简单、成本低、功耗低;所采用元器件的品种少、体积小且货源充足;便于生产、测试和修改等。 电子电路设计一般步骤如图1-1所示。 图1-1 电子电路设计一般步骤 由于电子电路种类繁多,千差万别,设计方法和步骤也因情况不同而有所差异,因而上述设计步骤需要交叉进行,有时甚至会出现多次反复。因此在设计时,应根据实际情况灵活掌握。

1、总体方案的设计与选择 设计电路的第一步就是选择总体方案,所谓选择总体方案是根据设计任务、指标要求和给定的条件,分析所要求设计电路应完成的功能,并将总体功能分解成若干单元,分清主次和相互的关系,形成若干单元功能模块组成的总体方案。该方案可以有多个,需要通过实际的调查研究,查阅有关的资料或集体讨论等方式,着重从方案能否满足要求、结构是否简单、实现是否经济可行等方面,对几个方案进行比较和论证,择优选取。对选用的方案,常用方框图的形式表示出来。 选择方案应注意的几个问题: 应当针对关系到电路全局的问题,开动脑筋,多提些不同的方案,深入分析比较,有些关键部分,还要提出各种具体电路,根据设计要求进行分析比较,从而找出最优方案。 要考虑方案的可行性、性能、可靠性、成本、功耗和体积等实际问题。 选定一个满意的方案并非易事,在分析论证和设计过程中需要不断改进和完善,出现一些反复是在所难免的,但应尽量避免方案上的大反复,以免浪费时间和精力。 2.单元单路的设计与选择 在确定了总体方案,画出详细框图之后,便可进行单元电路设计。任何复杂的电子电路,都是由若干简单功能的单元电路组成的,这些单元电路的性能指标往往比较单一。在明确每个单元电路的技术指标后,要分析清楚单元电路的工作原理,设计出各单元的电路结构形式,尽量采用学过的或者熟悉的单元电路,要善于通过查询资料,分析研究一些新型电路,开发利用新型器件,亦可在与设计要求相近的电路基础上进行适当改进或进行创造性设计。 设计单元电路的一般方法和步骤: (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标。注意各单元电路之间的相互配合,但要尽量少用或者不用电平转换之类的接口电路,以简化电路结构、降低成本。

《集成电路原理及应用》课后答案..

集成电路原理及应用(第2版)谭博学苗汇静主编 课后习题答案 第二章 模拟集成电路的线性应用 对 A 2 :由"虚断”和"虚短”得 i 3=i 4, v 2_=v 2 - =u i2, 代入 U o1 得U 。哙呱…), 2.11 求图3所示电路的增益A f ,并说明该电路完成什么功能 则 u i1 = U 01 R 1 R 2 R 2 R 1 ,即 u o-(1 K )u i1 , 则 U 。1 -U i2 R 3 U i2 -U o R 4 R 3 因两个输入信号均从同相端输入, 所以输入阻抗比较高。该电路为高输入阻抗的差动放 2.9 试分析图1所示电路是什么电路,有何特点?图中设 解:第一级运放为同相放大器。对 A 1 :由"虚断”和"虚短”得 i 1 =i 2, v^=v 1. =u , 1)U i2 - U o1

解:该电路由两个集成运放构成, A1为主放大器接成反相运算放大器, A2为辅助放大器, A2也接成反相放大器,利用 A2对A1构成正反馈,是整个电路向信号源索取的电流极少。 主放大器A 1 :由“虚断”和“虚短”得 R i U i I i u i 01 u 。 R 2 R i R 2 u i u i 辅助放大器A2的电压放大倍数: o2 u o2 2R 1 该电路为自举电路, U i U i U i R i I i I i - I R 2 R 2 U i U i u i2 u 。 R 2 目的是提高电路的输入电阻。 2R 得 U^2U i RR

当 R = R 1 时,R t 2.12 求图4所示电路输出电压与输入电压的表达式,并说明该电路完成什么 功能 i1 -u o1 ,即u o1 =-u i1 。A 1为倒相器 解:对A 1 :由

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

福州大学课程设计格式范文

福州大学课程设计 格式

《PIC单片机》 课程设计 学生姓名:邱荣华 学号: 专业班级:电气工程与自动化级2班 指导教师:江和 6月13日 1.课程设计题目和要求 课程设计的性质和目的 《PIC单片机课程设计》是电气工程及其自动化专业及相近专业的一门重要的专业实践课,本课程在《PIC 单片机》课程的基础上,

经过硬件设计与软件编程与调试的实践,进一步掌握PIC单片机的应用方法,熟练PIC 单片机的C程序的编写与调试,是毕业设计前的一次重要的实践,为今后走上工作岗位打下坚实的单片机应用基础。 要达到的目标: 1.熟悉MPLAB IDE和PROTUES的使用。 2.熟练掌握PIC16F887单片机的编程技巧,加深对理论教学内容的理解与掌握。 3.经过自主选题、编程、调试到完成任务,从而提高分析和解决问题的能力,培养自主创新能力。 1.2课程设计内容和要求 设计一个具有完整功能、有一定工作量与一定难度的单片机应用线路,并绘制能用于仿真的proteus 线路图,编制相应的单片机c 语言,分别在proteus仿真界面和实物板上调试运行正确。最后用实物进行运行演示,并写出符合规范的课程设计报告。 2.设计方案 2.1利用PIC16F887单片机设计一个万年历,经过IIC通信将DS1307时钟芯片中的时间用LCD液晶屏显示。同时,该设计还兼具温度显示功能,能够经过键盘设置当前时间、日期。 2.2 硬件框图: 根据所要实现的预期功能,硬件必须要有最小系统模块、LCD显示模块、时钟模块、温度模块、按键模块等

2.3 protues 仿真图的绘制: 初步了解此次课程设计所用的开发板,并根据所选课题,进一步了解该课题用到的相应模块。在protues 中找到相信模块中的元件,绘制好仿真图。 2.4最小系统 最小系统包括16F887单片机、复位模块、4M 外部晶振、排针等部件组成如下最基本电路,其中芯片供电的引脚略去。本课设板所用的单片机为PIC16F887,是877A 的升级,其主要参数与 单 片 机 PIC16F887 LCD 显示 时间设 时钟芯片 温度传感器

集成电路的发展与应用

粉体(1)班学号:1003011020 集成电路技术的发展与应用 摘要: 集成电路(Integrated Circuit,简称IC)是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,这样,整个电路的体积大大缩小,且引出线和焊接点的数目也大为减少,从而使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。它在电路中用字母“IC”(也有用文字符号“N”等)表示。 关键词:集成电路模拟集成电路电子元件晶体管发展应用集成电路对一般人来说也许会有陌生感,但其实我们和它打交道的机会很多。计算机、电视机、手机、网站、取款机等等,数不胜数。除此之外在航空航天、星际飞行、医疗卫生、交通运输、武器装备等许多领域,几乎都离不开集成电路的应用,当今世界,说它无孔不入并不过分。 在当今这信息化的社会中,集成电路已成为各行各业实现信息化、智能化的基础。无论是在军事还是民用上,它已起着不可替代的作用。 一、集成电路的定义、特点及分类介绍 1、什么是集成电路:所谓集成电路(IC),就是在一块极小的硅单晶片上,利用半导体 工艺制作上许多晶体二极管、三极管及电阻、电容等元件,并连接成完成特定电子技术功能的电子电路。从外观上看,它已成为一个不可分割的完整器件,集成电路在体积、重量、耗电、寿命、可靠性及电性能方面远远优于晶体管元件组成的电路,目前为止已广泛应用于电子设备、仪器仪表及电视机、录像机等电子设备中。[1] 2、集成电路的特点:集成电路或称微电路(microcircuit)、微芯片(microchip)、 芯片(chip)在电子学中是一种把电路(主要包括半导体装置,也包括被动元件等)小型化的方式,并通常制造在半导体晶圆表面上。前述将电路制造在半导体芯片表面上的集成电路又称薄膜(thin-film)集成电路。另有一种厚膜(thick-film)混成集成电路(hybrid integrated circuit)是由独立半导体设备和被动元件,集成到衬底或线路板所构成的小型化电路。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 3、集成电路的分类: (1)按功能结构分类:集成电路,又称为IC,按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/模混合集成电路三大系。

集成电路课程设计

集成电路课程设计报告 课题:二输入或非门电路与版图设计 专业 电子科学与技术 学生姓名 严 佳 班 级 B 电科121 学号 1210705128 指导教师 高 直 起止日期 2015.11.16-2015.11.29

摘要 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 越来越多的电子电路都在使用MOS管,特别是在音响领域更是如此。MOS 管与普通晶体管相比具有输入阻抗高、噪声系数小、热稳定性好、动态范围大等优点,且它是一种压控器件,有与电子管相似的传输特性,因而在集成电路中也得到了广泛的应用。 关键词:CMOS门电路或非门集成电路

绪论 目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 1.设计要求 (1)学习Multisim软件和L-Edit软件 (2)设计一个基于CMOS的二输入或非门电路。 (3)利用Multisim和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 2.设计目的 (1)熟悉Multisim软件的使用。 (2)L-Edit软件的使用。 (3)培养自己综合运用所学知识、独立分析和解决实际问题的能力,培养创新意识和创新能力,并获得科学研究的基础训练,加深对集成电路版图设计的了解。 3.设计原理 能够实现B =“或非”逻辑关系的电路均称为“或非门”。二输入或 A L+ 非门有两个输入端A和B以及一个输出端L,只有当A端和B端同时为高电平时输出才为低电平,否则输出都为高电平。在一个或门的输出端连接一个非门就构成了“或非门”,如图1.1所示,逻辑符号如图1.2所示,真值表如图1.3所示。

(完整word版)福州大学电子通信、集成电路考研复试问题总结

1. 如何消除工频干扰 工频干扰:市电电压的频率为50Hz,它会以电磁波的辐射形式,对人们的日常生活造成干扰,我们把这种干扰称之为工频干扰。 抑制的关键是搞清楚噪声传递方式,是空间辐射还是传导。 ①如果50Hz噪声是空间辐射进入的,说明设计存在高阻抗输入点,降低阻抗可能会解决问 题; ②如果是传导,需要切断传导途径。比如从电源耦合进入的,可以对电源进行二次变换等 等。 ③如果信号频段和工频不一致,可以滤波,采用陷波滤波器(注:就是在一定频带内的信号 不能通过,而且其他频率的信号可以通过。带阻滤波器。),或者软件滤波等等。 ④当然在抑制不了的时候还可以采取适应的方案,就是让设备适应工频噪声,如比例双积分 的ADC可以控制积分时间为50Hz整周期等等。 工频干扰会对电气设备和电子设备造成干扰,导致设备运行异常。应用隔离变压器和滤波器,再加良好屏蔽。总的来说具体问题具体分析,泛泛而谈意义不大。 2. 语音信号与音频信号的区别 音频信号的频率范围就是人耳可以听到的频率范围,超过这个范围的音频信号没有意 义。20Hz-20000Hz.语音的频率范围在30-1000Hz之间。 音频信号是(Audio)带有语音、音乐和音效的有规律的声波的频率、幅度变化信息载 体。 语音信号处理是研究用数字信号处理技术对语言信号进行处理的一门学科,语音信号处 理的理论和研究包括紧密结合的两个方面:一方面,从语言的产生和感知来对其进行研究, 这一研究与语言、语言学、认知科学、心理、生理等学科密不可分;另一方面,是将语音作 为一种信号来进行处理,包括传统的数字信号处理技术以及一些新的应用于语音信号的处理 方法和技术。 音频信号是语音信号经过数码音频系统转化来的

福州大学研究生学位论文规范

-1- 福州大学研究生学位论文规范 (2016年7月修订) 学位论文是申请博士或硕士学位的重要文献资料,是社会的宝贵财富。为了进一步提高学位论文质量,特制定本规范,博士、硕士研究生在撰写论文时应参照执行。 一、学位论文内容和格式 论文的内容及其顺序依次为:封面、独创性声明和关于论文使用授权的说明、中文摘要、外文摘要、目录、主要符号表、正文、结论、致谢、参考文献、附录、个人简历、在学期间的研究成果及发表的学术论文。 1.封面封面::按国标,全校统一格式。 题目:应能概括整个论文最重要的内容,具体、切题、不能太笼统,要引人注目;题目力求简短,严格控制在30字以内。 分类号分类号分类号::中国图书资料分类法类号。 编号编号编号::为学校代码。福州大学的代码为10386。 UDC UDC :国际十进制分类法类号。 密级密级密级::在封面右上角处注明论文密级为公开公开、、内部内部、、秘密或机密机密。。 专业名称专业名称::以国务院学位委员会批准的专业目录中的专业为准,一般为二级学科名称。 2.2.独创性声明和关于论文使用授权的说明独创性声明和关于论文使用授权的说明独创性声明和关于论文使用授权的说明:: 为更好地维护我校

-2- 学位制度的声誉,进一步规范学位论文管理,保证学位论文质量,明晰知识产权,杜绝论文剽窃现象,要求学位申请人及其指导教师分别签署“遵守学术行为规范承诺”、“独创性声明”、“关于论文使用授权的说明”(见附件1),并将其做为论文插页装订在学位论文的首页。作者和指导教师的姓名需本人亲笔签字,不得用盖章或打印。 3.中文摘要中文摘要::论文第一页为中文摘要,约800~1000字左右(限一页)。包括论文题目、摘要内容和关键词。摘要内容应包括工作目的、研究方法、成果和结论等。语言力求精炼,一般不宜使用公式、图表,不标注引用文献。为了便于文献检索,应在本页下方另起一行注明3~5个论文的关键词。 4.4.英文摘要英文摘要英文摘要::中文摘要后为英文摘要,也应包括论文题目、摘要内容和关键词。内容应与中文摘要相同。 5.目录目录::应是论文的提纲,也是论文组成部分的小标题。 6.主要符号表主要符号表::如果论文中使用了大量的物理量符号、标志、缩略词、专门计量单位、自定义名词和术语等,应编写成注释说明汇集表。若上述符号和缩略词使用数量不多,可以不设专门的汇集表,而在论文中出现时加以说明。 7.引言:作为论文的第一章,内容为包括研究课题的学术背景及意义,国内外文献的综述,研究课题的来源,研究的目的和主要研究内容。 8.正文:是学位论文的主体。写作内容可因研究课题性质而不同,一般可包括:理论分析、计算方法、实验装置和测试方法、经

集成电路课程设计(范例)

集成电路课程设计 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计一电路设计及模拟一版图设计一版图 验证等正向设计方法2. 设计题目与要求 2.1 设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|l O H < 20 卩A, V O H min=4.4V; (3)输出底电平时,|l OL| < 4mA V O L ma=0.4V; (4)输出级充放电时间t r=t f , t pd V25ns; (5)工作电源5V,常温工作,工作频率f work = 30MHZ总功耗P max= 150mW。 2.2 设计要求 1. 独立完成设计74HC139芯片的全过程; 2. 设计时使用的工艺及设计规则:MOSlS:mhp_n12; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(入)为单位的设计规则; 5. 全手工、层次化设计版图; 6. 达到指导书提出的设计指标要求。 3. 设计方法与计算 3.1 74HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMO数字电路集成芯片,能与TTL集

成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1 所示: 地址输人数据输岀 ▼[>!> Sb A Ob A)b Y (lb lb Y Zb 丫盹 加加 I I I 二 _「 选通I —I 地址输人数擔输出 图1 74HC139芯片管脚图 表1 74HC139真值表 从图1可以看出74HC139芯片是由两片独立的2—4译码器组成的,因此设计时只需分析其中一个2—4译码器即可,从真值表我们可以得出Cs为片选端,当其为0时,芯片正常工作,当其为1时,芯片封锁。A1、A0为输入端,丫0-丫3为输出端,而且是低电平有效。 2—4译码器的逻辑表达式,如下所示: 丫0 C s A A C s A A o 丫 1 C s A A o C s A A o

相关文档
最新文档