第五章数字电路基础

第五章数字电路基础
第五章数字电路基础

第五章 数字电路基础

一、填空题:

1.(7-4易)______AB AB +=。

2.(7-4易)_____A AB +=。

3.(7-4中)______A AB +=。

4.(7-4难)___________AB A C BC ++=。

5.(7-2易)逻辑代数有 、 和逻辑非三种基本运算。

6.(7-4易)1____A ⊕=。

7.(7-4易)A ⊙1=__________。

8.(7-2易)如果输入与输出的关系是"有0出1,全1出0",这是 逻辑运算。"全0出0,有1出1",这是 逻辑运算。

9.(7-2中)异或门的逻辑功能是 、 。 10.(7-1易) 晶体二极管具有____________特性,利用这一特性可作开关电路。 11.(7-1易)晶体二极管从导通到截止需要一段时间,称之为_______________。

12.(7-1易) 晶体三极管通过对其基极电位控制,可使其处于________状态或_______状态。 13.(7-1易) 晶体三极管的U BE _____ U th (填>,<,或=)时,其处于截止状态。(U th 为三极管发射结导通电压)。

14.(7-1中) 在实验和实际中,可在三极管的基极电阻上并联一个______________来加速管子开启和关闭速度。

15.(7-3易) n 个变量有________个最小项。

16.(7-2易) 三态输出与非门的输出端有三种状态:______,______,______。 17.(7-3中) (127)10=( )2=( )8=( )16

18.(7-3中) (254.25)10=( )2=( )8= ( )

16

19.(7-3难)(2.718)10=( )2(小数点后保留4位)= ( )8=( )

16

20.(7-4难)AB A C BC ++=_______________。

21.(7-1易)逻辑变量的取值有种,即。

22.(7-1易)逻辑代数中"0"和"1"不再表示,而只能表示。

23.(7-1中)模拟电路与数字电路的主要区别是,。

24.(7-2中)正逻辑的与非门等于负逻辑的门;负逻辑的异或门等于正逻辑的门。

25.(7-2难)楼梯上下用两个单刀双掷开关控制同一个电灯属于逻辑上的_______门。

二、选择题

1. (7-2易)反向器正常工作时所能提供的最大负载电流i L m a x越大,反向器带负载能力()。

A.越弱 B.不变 C.越强 D.不确定

2. (7-3易)在卡诺图中,具有逻辑相邻性的是()。

A.地理相邻

B.上下相邻

C.左右相邻

D.以上都是

3. (7-2易)TTL门电路的噪声容限越大,其抗干扰能力()。

A.越弱 B.不变 C.越强 D. 不确定

4. (7-3中)(43)D转换为BCD码为()。

A. (100011)B C D

B. (1000011)B C D

C. (101011)B C D

5.(7-4易)逻辑代数的运算规则不包括()。

A.代入规则B.对称规则C.反演规则D.对偶规则

6.(7-1易)晶体二极管具有()特性。

A.优良导电B.单向导电C.高阻导电D.高温导电

7.(7-1易)下面不是晶体二极管的应用的是()。

A.钳位电路B.限幅电路C.反向电路D.开关电路

8.(7-2难)关于OC门下面回答正确的是()。

A.在输出端和电源间外接电阻后才能正常工作

B.OC门的输出端不可以直接并联使用

C.OC门的输出电流小,不可以驱动如发光二极管等执行机构

D.以上回答都是正确的

9. (7-2难)关于三态门下面回答不正确的是()。

A.三态门的三种状态指的是高阻、高电平、低电平

B.三态门可以实现一根导线轮流传输几组不同信号

C.三态门是在普通门的基础上附加使能端和控制电路构成

D.三态门在使用时输出端不可以直接与其他三态门连接

10.(7-1难)有一个两输入端x1、x2的门电路,当控制端x1=1时,门输出为,当控制端x1=0是,门输出为x2,你认为它是()。

A、与非门

B、异或门

C、或非门

D、同或门

11. (7-4难)Y=(A,B,C,D)=Σm (0,2,8,10)化简后为()。

A、BD

B、

C、B+D

D、

12.(7-3中)(0.3125)10化成二进制码为()。

A.(0.1001)2B.(0.0101)2C.(0.0011)2D.(0.1011)2

13.(7-4难)化简BC?C的结果是()。

+B.C C.BC D.0

A.B C

+的结果是()。

14.(7-4易)化简ABD AD

A. ABD

B. AD

C. B

D.1

15.(7-2中)TTL与非门的输入端在下列情况下属于逻辑1的是()。A.输入端接地

B.输入端通过500欧姆电阻接地

C.输入端接1.8V的电源

D.输入端悬空

16.(7-1易)数字电路最常使用的是()

A、二进制

B、八进制

C、十进制

D、十六进制

17. (7-4中)下列逻辑函数式,正确的是()。

+= D、

A、ABC+BCD=ABCD

B、AB+CD+1=ABCD

C、B C BC

18. (7-2中)如图所示电路是()门电路。

A、与门

B、或门

C、非门

D、异或门

19. (7-2易)欲使某变量取反,可以采用()。

A、与门

B、或门

C、非门

D、与或门

20.(7-2难)“相同出1,相异出0”是()电路实现的逻辑功能。

A、与非

B、或非

C、异或

D、同或

21. (7-2中)TTL或非门闲置端应使它()。

A、接地

B、悬空

C、接电源

D、接高电平

22. (7-3难)如图所示,输出Y的逻辑表达式是()

A、Y=A+B+C

B、Y=ABC

C、

D、

23.(7-2中)“相同出0,相异出1”是指()。

A.与非门 B.或非门 C.异或门 D.同或门

三、判断题

1.(7-2易)CMOS电路多余输入端可以悬空。()

2.(7-2中)CMOS电路中当输入线较长或输入端有大电容时,应在输入端串联限流电阻。()

3.(7-2易)三态门的基本用途是能够实现用一根导线轮流传输几组不同信号。()

4.(7-2中)集电极开路的与非门(OC门)不可以实现线与关系。()

5. (7-1易)数字信号是指时间上和数值上都连续的信号。()

6. (7-2易)异或门和同或门是复合逻辑门电路。()

7. (7-4)真值表和卡诺图是逻辑函数的两种不同表示方法。()

8. (7-2易)由三个开关并联起来控制一只电灯时,电灯的亮与不亮同三个开关的闭合或断开之间对应关系属于"与"的逻辑关系。()

9.(7-2易)集电极开路的与非门(OC门)可用作驱动显示器和执行机构。()

10.(7-2中)TTL与非门的输出端可接地。()

11.(7-2中)TTL与非门的输出端不可接电源。()

12.反演规则又叫摩根定理,替换后要保持原来的运算顺序。()

13.(7-2易)CMOS门电路比TTL电路工作速度快,抗干扰能力强。()

14.(7-4易)8421BCD码是有权码。()

15.(7-2易)TTL门电路的输入级、输出级均由晶体三极管构成。()

16.(7-2中)TTL与非门当输入低电平信号值在一定范围内变化,输出高电平并不会立即下降。()

17.(7-2中)TTL门电路的噪声容限越小,抗干扰能力越弱。()

18.(7-3易)所谓的8421BCD码其实就是4位的二进制代码且符合“逢二进一”的规律。()

19.(7-2难)TTL电路可以驱动CMOS门电路,而CMOS电路不能驱动TTL电路。()

20.(7-1易)数字电路是实现各种逻辑运算和数字运算的电路。()

21.(7-1中)数字电路对电路精度的要求比模拟电路高。()

22.(7-1难)在脉冲电路中,晶体三极管大多用共基极连接方式。()

23.(7-1中)数字电路与模拟电路相比,其抗干扰能力强、功耗低、速度快。()

四、计算题

1.(7-3)用卡诺图化简下列逻辑函数成最简与或式。

1).(易)Y1(ABC)=∑m(0、1、2、5)

2).(中)Y1(ABCD)=∑m(0、4、6、8、10、12、14)

3).(难)Y1(ABCD)=∑m(0、1、2、3、4、7、15)+ ∑d(8、9、10、11、12、13)(∑d为约束条件)

4).(中)Y1(ABCD)=∑m(1、3、4、5、7、10、12、14)

++=+

2.证明(中)A B AC B C A B AC

3. (7-3中)求出图示卡诺图的最简与或式。

1).

2).

3). (ㄨ为约束项)

4.(7-3易)将下列二进制数转换为等值的十进制数。

(1)(1100110011)2(2)(101110.011)2(3)(0.001011)2

5.(7-3易)将下列十六进制数转换为十进制数。 (1)(6CF )16; (2)(8ED.C7)16

6.(7-4中)求F AB A C BC =++的最简与或式。

7.(7-4中)求AB BC AC F +++=的最简与或式。

8.(7-4中)用卡诺图法求()()∑131210874201,,,,,,,=,,,m D C B A F 的最简与或式。

9.(7-4中)求F 2(A,B,C,D)=∑m(0,1,4,5,6,7,9,10,11,13,14,15)的最简与或式。

五、简答题

1. (7-3易)简述逻辑函数的四种表示方法。

2. (7-3难)回答下面门电路图的逻辑功能,并写出表达式。

1)

.

2)

.

3).

4).

5).

6).

7).

3. (7-3易)回答图示三态门处于什么情况下电路处于正常工作状态

1).

2).

4. (难)设计一个三人投票的表决电路,用F 表示表决结果,F =1表示多数赞成,F=0表述多数不赞成。对于三个人,分别用A ,B ,C 三个变量表示,用1表示赞成,用0表示反对。要求: 1).列真值表

2).写出逻辑表达式并化简 3).画出逻辑图。

5.(7-2中)将逻辑函数Y ABC BC =+Y ABC BC =+展开为最小项之和的形式。

6.(7-2难)写出在图(a )~(d )所示的TTL 电路的逻辑表达式,并指出哪些电路能实现Y=B A +?

7.(7-2难)写出图(a)~(d)所示的TTL电路的逻辑表达式。

8.(7-2难)试判断图(a)~(d)所示的TTL三态输出门电路能否按要求的逻辑关系正常工作?如有错误,请改正。

9.(7-2难)在图(a)所示的TTL电路中,输入图(b)所示的电压波形时,试画出输出Y的波形,并写出其输出逻辑表达式。

10.(7-2难)在图(a)~(c)所示的TTL门电路中,已知输入A、B、C的波形如图(d)所示,试写出Y1~Y3的逻辑表达式

=+++

11.(7-4中)化简Y A B AC BC B C

12.(7-3难)已知下面4个逻辑门与各输入端A、B、C波形,作出各输出端F的波形。

13. (7-3易)已知逻辑门与输入波形,作出F1的波形

14.(7-2中)已知逻辑门与输入波形,作出F2的波形

15.(7-2难)已知逻辑门与输入波形,作出F2的波形

16.(7-2难)已知逻辑门与输入波形,作出F2的波形

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

《数字电子技术基础》复习指导(第十章)

第十章 数-模和模-数转换 一、本章知识点 权电阻、倒T 形D/A 转换器的原理 双极型D/A 转换应用电路分析。(题9.3) D/A 转换器V O 的计算,考虑线性误差后V O 的实际范围 A/D 转换的步骤; A/D 转换的分辨率(基本概念) 采样定理的内容和物理含义 并联比较型、计数型、逐次比较型、双积分型A/D 转换器转换速度的比较 计数型、逐次比较型A/D 转换器转换时间的计算 二、例题 (一)概念题 1.对于n 位的权电阻网络D/A 转换器,当求和运算放大器的反馈电阻为 2R 时,输出电压的 公式为V 0= 。 2.对于倒T 型电阻网络D/A 转换器,其电阻网络中只有 两种阻值的电阻。 3.一个4位D/A 转换器,满量程电压为10V ,其线性误差为± 2 1LSB ,当输入为1100时, 其输出电压实际值的范围为 。 4.一个8位D/A 转换器,V REF =10V ,其线性误差为±1LSB ,当输入为10001000时,其输出电压实际值的范围为 ;其中(10001000)B =( )10 。 5.设有一被测量温度的变化范围为10 0C ~800 0C ,要求分辨率为1 0C ,则应选用的A/D 转换器的分辨率至少为 位。 6.某8位输出的逐次比较型 A/D 转换器,若它使用的时钟频率为100KHz ,则该A/D 转换 器完成一次A/D 转换所需要的时间为 。 7.A/D 转换的过程可分为取样、保持、 及编码四步。 8.采样定理f s ≥2f imax 中的f imax 是指 。 9.计数式A/D 转换器中,若输出的数字信号为12位,时钟信号频率为4MHz ,则完成一次转换的最长时间是 ms ?如果希望最大转换时间小于100us ,那么时钟信号的频率应选用 HZ ? 10.一个8位D/A 转换器,若最小分辨电压VLSB=20mV ,当输入代码为10010111时,输出电压为 V ?该转换器的分辨率是

数字电子技术基本第三版第三章答案解析

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点:

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计 题型1为根据已知电路分析逻辑功能; 题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。 建议分配的分数为6~12分。 第二节思考题题解

数字电子技术基础第五版

数字电子技术基础第五版 模拟电子 信号在时间和数值上都是连续变化的信号称为模拟信号。那么以模拟信号传输的电子设备叫做模拟电子。 模拟电子主要内容包含有:常用半导体器,基本放大电路,多级放大电路,集成运算放大电路,放大电路的频率响应,放大电路中的反馈,信号的运算和处理,波形的发生和信号的转换,功率放大电路,直流电源,模拟电子电路读图这些内容。 模拟技术 simulation technology 模拟技术就是电子设计,通过模拟电路的设计来实现某一逻辑功能的技术。 模拟电子技术 模拟电子技术是一门研究对仿真信号进行处理的模拟电路的学科。它以半导体二极管、半导体三极管和场效应管为关键电子器件,包括功率放大电路、运算放大电路、反馈放大电路、信号运算与处理电路、信号产生电路、电源稳压电路等研究方向。 数码技术(数字电子技术) 信号在时间和数值上都是离散的信号称为数字信号。 数码技术又被称为数字技术,因为其核心内容就是把一系列连续的信息数字化,或者说是不连续化。

在电子技术中,被传递、加工和处理的信号可以分为两大类:一类信号是模拟信号,这类信号的特征是,无论从时间上还是从信号的大小上都是连续变化的,用以传递、加工和处理模拟信号的技术叫做模拟技术;另一类信号是数码信号,数码信号的特征是,无论从时间上或是大小上都是离散的,或者说都是不连续的,传递、加工和处理数码信号的叫做数码技术。 与模拟技术相比,数码技术具有以下一些特点: (1)在数码技术中一般都采用二进制,因此凡元件具有的两个稳定状态都可用来表示二进制,(例如“高电平”和“低电平”),故其基本单元电路简单,对电路中各元件精度要求不很严格,允许元件参数有较大的分散性,只要能区分两种截然不同的状态即可。这一特点,对实现数字电路集成化是十分有利的。 (2)抗干扰能力强、精度高。由于数码技术传递加工和处理的是二值信息,不易受外界的干扰,因而抗干扰能力强。另外它可用增加二进制数的数位提高精度。 (3)数码信号便于长期存贮,使大量可贵的信息资源得以保存。 (4)保密性好,在数码技术中可以进行加密处理使一些可贵信

通用数字电路与数字电子技术课后答案第七章.doc

第七章 时序逻辑电路 1.电路如图P7.1所示,列出状态转换表,画出状态转换图和波形图,分析电路功能。 图P7.1 解: (1)写出各级的W.Z 。 D 1=21Q Q ,D 2=Q 1,Z=Q 2CP ( 2 ) 列分析表 ( 3 ) 状态转换表 (4 图7.A1 本电路是同步模3计数器。 2. 已知电路状态转换表如表P7.1所示,输入信号波形如图P7.2所示。若电路的初始状态为Q2Q1 = 00,试画出Q2Q1的波形图(设触发器的下降沿触发)。 Q 2 Q 1 D 2 D 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 1 0 0 1 1 0 1 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 1 Q 2 Q 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 0 1 1 0 0 1 0 0 0 1 1 1 1 0 1 CP 表P7.1 X Q 2 Q 1 0 1 00 01 10 11 01/1 10/0 10/0 01/1 11/1 10/0 11/0 00/1 CP X Q 1 0 Q 2 0 Z CP Q 1 0 Q 1 0 Z ( b ) Q 2 Q 1 /Z ( a ) 01/0 11/1 10/1 00/0

解:由状态转换表作出波形图 3. 试分析图P7.3所示电路,作出状态转换表及状态转换图,并作出输入信号为0110111110相应的输出波形(设起始状态Q 2Q 1 = 00 )。 ( a ) ( b ) 解:(1)写W.Z 列分析表 J 1 = XQ 2 J 2 = X Z =12Q Q X K 1 = X K 2 =1Q X ( 2 ) 作出状态转换表及状态转换图 X Q 2 Q 1 0 1 00 01 00/1 00/1 10/1 11/1 X Q 2 Q 1 J 2 K 2 J 1 K 1 Q 2n+1 Q 1n+1 Z 0 0 0 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 0 1 1 0 CP X 图P7.3 CP X Q 1 0 Q 1 0 Z 图P7.A2 0 /1 0 /1 0 /1 1/1 1/1 0/1 01 11 00

数字电路实验报告第七章触发器

数字电路与逻辑设计基础实验 任课教师:陈志坚 实验名称:触发器(实验七) 云南大学信息学院 一、实验目的 ⑴学习触发器逻辑功能的测试方法 ⑵进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式二、实验器材 ⑴直流稳压电源、数字逻辑实验箱 ⑵74LS00、74LS74、74LS76 三、实验内容和仿真

1.基本RS触发器 基本RS触发器用与非门74LS00构成,按图7-1接好线。在输入端加上不同的信号,通过发光二极管观察电路输出端的状态。把结果填入自制的表中。 图7-1 基本RS触发器图7-2 D触发器的预置和清零功能 用带预置和清除的双D型触发器74LS74来测试上升沿触发集成D型触发器的逻辑功能。先按图7-2接线,在时钟脉冲的不同电平状态,改变预置端PRE 和清除端CLR的信号,通过发光二极管观察触发器的输出状态。把结果填入自制的表中。然后,按图7-3接线,测试D触发器的逻辑功能。 在D触发器的逻辑功能测试中,先将数据输入端D分别置入“0”或“1”,再用清零端CLR和预置端PRE分别将触发器的输出端清除为“0”或置位为“1”,最后再用单脉冲按钮向触发器的时钟输入端CLK发出脉冲的上升边沿和下降边沿,同时观察电路输出端Q的输出状态,把结果填入表7-1中。 注意:清零和置位之后,清除端CLK和预置端PRE必须置成“1”状态。 图7-3 D触发器逻辑功能测试7-4 JK触发器清除和预置功能的测试

D触发器仿真(1) D触发器仿真(2

1 3.JK触发器 用带预置和清除的双JK触发器74LS76来测试下降沿触发集成JK触发器的逻辑功能。先按图7-4接线,改变预置端PRE和清除端CLR的信号,通过发光二极管观察触发器Q输出端的输出状态。把结果填入自制的表中。然后,按图7-5接线,测试JK触发器的逻辑功能。 图7-5 JK触发器逻辑功能测试

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字电子技术基础(第五版)阎石主编

辽宁石油化工大学考试题 2007 -- 2008 学年第 2 学期 课程名称:数字电子技术考试形式:闭卷 授课学院:信息与控制工程学院试卷共8 页试卷:A 适用专业班级:自动化、电气、电信、测控06级 1.(166)8=()16 =()10 =()2 2.D触发器的特征方程为,JK触发器的特征方 程为,T触发器的特征方程为。 3.能够存储二值信息或代码的器件有_____________、______________、 _______________。 4.下图所示权电阻网络D/A转换器中,若取V REF =5V,则当输入数字 量为d 3d 2 d 1 d =1101时输出电压为_____________。 5.下图中G1为TTL门电路,输出状态为_________。 二、选择题(每题3分,共15分) 1.测得某逻辑门输入A,B和输出F的波形如图所示,则F(A,B)的表达式为。

A、F=AB B、F=A+B C、F=A⊕B D、F=AB A B F 2.能实现分时传送数据逻辑功能的是()。 A、TTL与非门 B、三态逻辑门 C、集电极开路门 D、CMOS逻辑门3.由555定时器构成的单稳态触发器,其输出脉冲宽度取决于。 A、电源电压 B、触发信号幅度 C、触发信号宽度 D、外接R、C的数值 4.为了构成4096×8的RAM,需要________片1024×2的RAM。 A、16片; B、8片; C、4片; D、2片。 5.某模/数转换器的输入为0 ~10V模拟电压,输出为8位二进制数字信号(D7 ~ D0)。若输入电压是2V,则输出的数字信号为____________。 A、00100011 B、00110011 C、00100001 D、00110001 三、(6分)用卡诺图将下列逻辑函数化成最简“与或”式。 F(A,B,C,D)=∑m(0,6,9,10,12,15)+∑d(2,7,8,11,13,14) 四、(15分)某工厂有A、B、C三个车间,各需电力10千瓦,由变电所的 X、Y两台变压器供电。其中X变压器的功率为13千伏安,Y变压器的功率 为25千伏安。为合理供电,需设计一个送电控制电路。使控制电路的输出接 继电器线圈。送电时线圈通电;不送电时线圈不通电。要求利用与非门和异 或门完成设计电路。(写出真值表、列出逻辑表达式并化简、画出逻辑电路) 五、(10分)根据触发脉冲CP与输入信号D,B画出波形Q1、Q2,设Q1、Q2初 态为0。

万里学院数字电子技术基础第七章习题及参考答案

第七章习题 一、选择题 1.集成D/A 转换器DAC0832含有 个寄存器。 A.1 B.2 C.3 D.4 2.一个无符号8位数字量输入的DAC ,其分辨率为 位。 A.1 B.3 C.4 D.8 3.一个无符号10位数字输入的DAC ,其输出电平的级数为 。 A.4 B.10 C.1024 D.210 4.一个无符号4位权电阻DAC ,最低位处的电阻为40K Ω,则最高位处电阻为 。 A.4K Ω B.5K Ω C.10K Ω D.20K Ω 5.4位倒T 型电阻网络DAC 的电阻网络的电阻取值有 种。 A.1 B.2 C.4 D.8 6.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率 f ax Im 的关系是 。 A. f s ≥f ax Im B. f s ≤f ax Im C. f s ≥2f ax Im D. f s ≤2f ax Im 7.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为 。 A.采样 B.量化 C.保持 D.编码 8.用二进制码表示指定离散电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 9.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 10.若某ADC 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8 1REF V 时,认为输入的模拟电压为0V ,输出的二进制数为000,则 85REF V ≤I u <86REF V 时,输出的二进制数为 。 A.001 B.101 C.110 D.111 11.以下四种转换器, 是A/D 转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题(正确打√,错误的打×) 1.D/A 转换器的建立时间是反映转换速度的一个参数。( )

《电子技术基础》第五版课后答案

第一章数字逻辑习题 1.1数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2数制 2 1.2.2将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于4(2)127 (4)2.718 解:(2)(127)D=72-1=(10000000)B-1=(1111111)B=(177)O=(7F)H (4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4二进制代码 1.4.1将下列十进制数转换为8421BCD码: (1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6逻辑函数及其表示方法 1.6.1在图题1. 6.1中,已知输入信号A,B`的波形,画出各门电路输出L的波形。

数字电路第一章数字电路习题集和答案

第一章绪论练习题 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.以下代码中为恒权码的为。 码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为。 101 0101 C.100101 、 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数8等值的数为: A. 2 B.16 C. )16 D.2 9. 常用的B CD码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 ( 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强11.把B二进制数转换成十进制数为() A. 150 B. 96 C.82 D. 159 12.将4FBH转换为十进制数( ) A. 0B B. 0B C. 0 D. 13.将数转换为十六进制数为() B.

C. D. ! 14.将十进制数130转换为对应的八进制数: B. 82 C. 120 D. 230 15.分别用842lBCD码表示()2为() B. 98 C. 980 D. 120 二、判断题(正确打√,错误的打×) 1. 方波的占空比为。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() : 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数 有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低 电平常用和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、 ) 、。 5.常用的BCD码有、、、等。常用的

数字电子技术基础第五版期末知识点总结归纳

精心整理 数电课程各章重点 第一、二章逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码.8421 二、:三、 四、 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、利用公式法对逻辑函数进行化简

2、利用卡诺图对逻辑函数化简 3、具有约束条件的逻辑函数化简 例1.1利用公式法化简BD + + F+ ) ( ABCD = + A D A B C B C A 解:BD + + F+ ) ( = + ABCD A D A B C A C B 例1.2利用卡诺图化简逻辑函数∑ 、 、 、 ABCD (、 Y m 3( 10 =) 7 6 5 ) 1 于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目

第四章组合逻辑电路知识要点 组合逻辑电路的分析、设计,利用集成芯片实现逻辑函数。(74138,74151等)一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状 态无关 二、组合逻辑电路的分析方法(按步骤解题) 三、 四、 1 2 例 1 2 3 解: 意,输出变量Y=1表示事件成立,逻辑0表示事件不成立。 2.根据题意列出真值表如表 3.1所示表3.1 3.经化简函数Y的最简与或式为:AC + = Y+ AB BC 4.用门电路与非门实现 函数Y的与非—与非表达式为:AC Y= AB BC

电子技术基础(第一部分)——第十章 数字电路基础

第十章数字电路基础 【本章逻辑结构】 【本章重点内容】 1.数制及转换相关知识 2.基本门电路及功能 3.逻辑函数的公式化简法。 【本章考试要点】 第一节数字电路概述 1.数字电路的特点: (1)电路结构简单,稳定可靠。 (2)信号传输采用高低电平二值信号,抗干扰能力强。 (3)可完成数值运算和逻辑运算,双称逻辑电路。 (4)数字电路的元器件处于开关状态,功耗小。 2.脉冲信号 (1)持续时间极短的电压或电流信号叫脉冲信号。 (2)常见的脉冲波形有:矩形波、锯齿波、尖脉冲、阶梯波等。 (3)脉冲的主要参数有:脉冲幅值Vm、脉冲上升时间tr、脉冲下降时间tf、脉冲宽度tw、脉冲周期T。 3.数字信号 (1)由1和0来表示脉冲的出现和消失,一串脉冲就表示为一串1和0组成的数码,这种信号称为数字信号。 (2)正逻辑:1代表高电平,0代表低电平。 (3)负逻辑:1代表低电平,0代表高电平。 第二节RC电路的应用 1.RC微分电路 (1)微分电路能将矩形波转换成尖顶波,为触发器、计数器、开关电路提供触发信号。 (2)RC电路成为微分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少

1/5),即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 1. RC 积分电路 (1) 积分电路可以把矩形波转换成三角波,常用来作为数字电路中的定时元件,电视机 中用来从复合同步信号中取出场同步信号。 (2) RC 电路成为积分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少3倍), 即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 第三节 数制及码制 1. 数制 (1) 数制是计数的一种体制,常有的有十时制,而数字电路中常采用二进制(数码 有0、1)、八进制(数码有0~7)、十六进制(数码有0~1、A 、B 、C 、D 、E 、F )。 (2) 二进制数、十六进制数转换成十进制数的方法:乘权相加法。 例:(1101.1)2=1×23+1×22+0+21+1×20+1×2-1 =(13.5)10 (3AE)16=3×162+10×101+14×160 =(942)10 (3)十进制数转换成二进制数的方法:除以2取余倒记法(先得到的余数作为最低位。) 例(19)10=(10011)2 (3) 二进制数和十六进制数的互化:任意四位二进制数都和一位十六进制数唯一相 对。(11010110101.1100101)2=(0110 1011 0101.1100 1010)=(6B5.CA)16 (7E6)16=(0111 1110 0110)2 第四节 逻辑门电路基础 1. 基本逻辑门 (1) 与逻辑门

数字电子技术基础第一章习题答案

第一章习题解答[题1.1]」【解】 (1) () 2=(97) 16 =(151) 10 (2)() = 16 ) 6(D=(109)10 (3)( 0. ) 2=(0.5F) 16 =(0.) 10 (4)(11. 001) 2=(3. 2) 16 =(3.125) 10 [题1. 2]将下列十六进制数化为等值的二进制数和等值的十进制数。 【解】 (1) (8C) 16=() 2 =(140) 10 (2) (3D.BE) 16= (.) 2 =(61. ) 10 (3)(8F.FF) 16=(.) 2 =( 143.) 10 (4)(10.00) 16=(10000.) 2 = (16. ) 10 [题1. 3][解] (17) 10=(10001) 2 =(11) 16 (127) 10 =() 2 =(7F) 16 (0.39) 10=(0.0110) 2 =(0.6) 16 (25.7) 10 =(11001.1011) 2 =(19.B) 16 [题1. 4] [解] (1) (+1011) 2 的原码和补码都是01011(最高位的0是符号位)。 (2) (+00110) 2 的原码和补码都是(最高位的0是符号位)。 (3) (-1101) 2 的原码是11101(最高位的1是符号位),补码是10011 (4) (-) 2 的原码是(最高位的1是符号位),补码是 [题1. 5] [解] (1)首先找出真值表中所有使函数值等于1的那些输人变量组合。 然后写出一组变量组合对应的一个乘积项,取值为1的在乘积项中写

为原变量,取值为0的在乘积项中写为反变量。最后,将这些乘积项相加,就得到所求的逻辑函数式。 (2)将输人变量取值的所有状态组合逐一代入逻辑函数式,求出相 应的函数值。然后把输入变量取值与函数值对应地列成表,就得到了函数的真值表。 (3)将逻辑图中每个逻辑图形符号所代表的逻辑运算式按信号传输方 向逐级写出,即可得到所求的逻辑函数式。 (4)用逻辑图形符号代替函数式中的所有逻辑运算符号,就可得到由 逻辑图形符号连接成的逻辑图了。 [题1. 6] [解] 表Pl. 6( a)对应的逻辑函数式为 表P1.6(b)对应的逻辑函数式为 [题1. 7] [解]

数字电路第七章答案

第七章可编程逻辑器件PLD 第一节基本内容 一、基本知识点 (一)可编程逻辑器件PLD基本结构 可编程逻辑器件PLD是70年代发展起来的新型逻辑器件,相继出现了只读存储器ROM、可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL 和可擦写编程逻辑器件EPLD等多个品种,它们的组成和工作原理基本相似。PLD的基本结构由与阵列和或阵列构成。与阵列用来产生有关与项,或阵列把所有与项构成“与或”形式的逻辑函数。在数字电路中,任何组合逻辑函数均可表示为与或表达式,因而用“与门-或门”两级电路可实现任何组合电路,又因为任何时序电路是由组合电路加上存储元件(触发器)构成的,因而PLD的“与或”结构对实现数字电路具有普遍意义。 在PLD中,输入电路中为了适应各种输入情况,每一个输入信号都配有一缓冲电路,使其具有足够的驱动能力,同时产生原变量和反变量输出,为与门阵列提供互补信号输入。输出电路的输出方式有多种,可以由或阵列直接输出,构成组合方式输出,也可以通过寄存器输出,构成时序方式输出。输出既可以是低电平有效,也可以是高电平有效;既可以直接接外部电路,也可以反馈到输入与阵列,由此可见PLD的输出电路根据不同的可编程逻辑器件有所不同。 (二)可编程逻辑器件分类 1.按编程部位分类 PLD有着大致相同的基本结构,根据与阵列和或阵列是否可编程,分为三种基本类型:(1)与阵列固定,或阵列可编程 (2)与或阵列均可编程 (3)与阵列可编程,或阵列固定 归纳上述PLD的结构特点,列于表7-1。 表7-1 各种PLD的结构特点 2.按编程方式分类 (1)掩膜编程 (2)熔丝与反熔丝编程 (3)紫外线擦除、电可编程 (4)电擦除、电可编程 (5)在系统编程(Isp) (三)高密度可编程逻辑器件HDPLD 243

《数字电子技术基础》复习指导(第七章)

第七章 半导体存储器 一、本章知识点 1、存储器的分类及每种类型的特点 ,用户无法更改。 ROM SRAM :靠触发器存储信息,不需刷新。 RAM DRAM :利用MOS 管栅电容存储信息,需要刷新。 2、掌握存储器电路的结构框图,对框内具体情况有一个大概的了解 3、了解存储器相关名词术语,如地址数、字数、字长、数据线及容量等 4、掌握存储器容量扩展方法。 5、掌握用ROM 构成组合逻辑函数的方法及ROM 构成的组合电路的分析。 二、例题 一、(概念题) 1、已知某存储器标有1K ×4字样,回答下列问题: (1)该存储器有几条地址线? (2)该存储器能存储多少个字? (3)每个字长是几位? (4)该存储器有几条数据线? (5)该存储器的容量是多少位? 2、ROM 由哪几部分组成?各部分的作用是什么? 3、在PROM 、EPROM 、E 2PROM 及Flash Memory 四种存储器中,可用光改写的是哪种? 4、哪些类型的ROM 可用来设计组合电路?组合电路的输入变量及输出变量如何安排? 5、根据存储数据原理的不同,RAM 可分为哪几种?它们存储数据的原理分别是什么? 存储器

6.动态触发器存储数据的原理是什么? 7.掩模ROM 、PROM 、EPROM 、E 2PROM 、Flash Memory 这五种只读存储器中哪些可用电信号擦除? (二)分析题 1.试用4片2114和译码器组成4K ×4的RAM ,其中2114是1K ×4的RAM 。 2.图示电路是用ROM 组成的逻辑电路,分析其功能。 3.用ROM 设计一个组合逻辑电路,用来产生下列一组逻辑函数 ???????==+++=+++=D C B A Y ABCD Y D C A D B A D C B C B A Y ACD ABD BCD ABC Y 4 321

自己整理的数字电路(第五版 阎石)各章重点复习

数电课程各章重点 第一、二章 逻辑代数基础知识要点 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( BD C D A B A B A ++++= )(C B A C C B A +=+

BD C D A B +++= )(B B A B A =+ C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下: 00 01 11 1000011110AB CD 111 × 11××××D B A Y += 第四章 组合逻辑电路知识要点 一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 二、 组合逻辑电路的分析方法(按步骤解题) 逻辑功能 真值表化简写出逻辑函数式逻辑图→→→→ 三、 若干常用组合逻辑电路 译码器(74LS138) 全加器(真值表分析) 数选器(74151和74153) 四、 组合逻辑电路设计方法(按步骤解题) 1、 用门电路设计 2、 用译码器、数据选择器实现 例3.1 试设计一个三位多数表决电路 1、 用与非门实现 2、 用译码器74LS138实现 3、 用双4选1数据选择器74LS153

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码 十进制数、十六进制数、二进制数对应关系表

《电子技术基础》第五版[数字部分]高教版课后答案

第一章数字逻辑习题 1.1 数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 MSB LSB 0121112(ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2数制 ?4 1.2.2 将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于2 (2)127 (4)2.718 解:(2)(127)D=27-1=(10000000)B-1=(1111111)B=(177)O=(7F)H (4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4二进制代码 1.4.1 将下列十进制数转换为8421BCD 码: (1)43 (3)254.25 解:(43)D= (01000011)BCD 1.4.3 试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)yo u (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you 的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43 的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6逻辑函数及其表示方法

清华_数字电路技术基础_第七章_MOOC

wang_hong@https://www.360docs.net/doc/ee4498268.html, the time) solves the "FINITE" baby won’t run out of memory wang_hong@https://www.360docs.net/doc/ee4498268.html, wang_hong@https://www.360docs.net/doc/ee4498268.html, Memory wang_hong@https://www.360docs.net/doc/ee4498268.html,

wang_hong@https://www.360docs.net/doc/ee4498268.html, wang_hong@https://www.360docs.net/doc/ee4498268.html, wang_hong@https://www.360docs.net/doc/ee4498268.html, 第七章输入/ 出电路 I/O 输入/出控制 输出引脚数目有限 wang_hong@https://www.360docs.net/doc/ee4498268.html, Random-Access-Memory )

wang_hong@https://www.360docs.net/doc/ee4498268.html, 7.2 ROM wang_hong@https://www.360docs.net/doc/ee4498268.html, wang_hong@https://www.360docs.net/doc/ee4498268.html, 地 址 数 据 A 0~A n-1 W0W(2n -1) D0Dm-1 wang_hong@https://www.360docs.net/doc/ee4498268.html, 两个概念: ?存储矩阵的每个交叉点是一个“存储单元”,存储单元中有器件存入“1”?存储器的容量:“字数x 位数”

wang_hong@https://www.360docs.net/doc/ee4498268.html, wang_hong@https://www.360docs.net/doc/ee4498268.html, 7.2.2 可编程ROM (PROM ) 总体结构与掩模ROM 一样,但存储单元不同 wang_hong@https://www.360docs.net/doc/ee4498268.html, 7.2.3 可擦除的可编程ROM (EPROM )wang_hong@https://www.360docs.net/doc/ee4498268.html,

数字电子技术基础--第一章练习题及参考答案

… 第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 ~ A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 101 0101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。 ) A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数8等值的数为: A.2 B.16 C. )16 D.2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) , 1. 方波的占空比为。() 2. 8421码1001比0001大。()

3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。()8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() ( 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代码 有、等。 6./ 7.(.1011)2=( )8=( )16 8. ( 8 =()2 =( )10=( )16=( )8421BCD 9. )10=()2=( )8=( )16 10. ( 16=()2=( )8=( )10= ( )8421BCD 11.( 0111 1000)8421BCD=() 2=( )8=( )10=( )16 四、思考题 1.在数字系统中为什么要采用二进制 2.格雷码的特点是什么为什么说它是可靠性代码 3.】 4.奇偶校验码的特点是什么为什么说它是可靠性代码

相关文档
最新文档