电路设计基础知识

电路设计基础知识
电路设计基础知识

电路设计基础知识

电路设计基础知识(1)电阻

导电体对电流的阻碍作用称着电阻,用符号R表示,单位为欧姆、千欧、兆欧,分别用&Omega、K&Omega、M&Omega表示。

一、电阻的型号命名方法:

国产电阻器的型号由四部分组成(不适用敏感电阻)

第一部分:主称,用字母表示,表示产品的名字。如R表示电阻,W 表示电位器。

第二部分:材料,用字母表示,表示电阻体用什么材料组成,T-碳膜、H-合成碳膜、S-有机实心、N-无机实心、J-金属膜、Y-氮化膜、C-沉积膜、I-玻璃釉膜、X-线绕。

第三部分:分类,一般用数字表示,个别类型用字母表示,表示产品属于什么类型。1-普通、2-普通、3-超高频、4-高阻、5-高温、6-精密、7-精密、8-高压、9-特殊、G-高功率、T-可调。

第四部分:序号,用数字表示,表示同类产品中不同品种,以区分产品的外型尺寸和性能指标等

例如:RT11型普通碳膜电阻a1}

二、电阻器的分类

1、线绕电阻器:通用线绕电阻器、精密线绕电阻器、大功率线绕电阻器、高频线绕电阻器。

2、薄膜电阻器:碳膜电阻器、合成碳膜电阻器、金属膜电阻器、金属

氧化膜电阻器、化学沉积膜电阻器、玻璃釉膜电阻器、金属氮化膜电阻器。

3、实心电阻器:无机合成实心碳质电阻器、有机合成实心碳质电阻器。

4、敏感电阻器:压敏电阻器、热敏电阻器、光敏电阻器、力敏电阻器、气敏电阻器、湿敏电阻器。

三、主要特性参数

1、标称阻值:电阻器上面所标示的阻值。

2、允许误差:标称阻值与实际阻值的差值跟标称阻值之比的百分数称阻值偏差,它表示电阻器的精度。

允许误差与精度等级对应关系如下:±0.5%-0.05、±1%-0.1(或00)、±2%-0.2(或0)、±5%-Ⅰ级、±10%-Ⅱ级、±20%-Ⅲ级

3、额定功率:在正常的大气压力90-106.6KPa及环境温度为-55℃~+70℃的条件下,电阻器长期工作所允许耗散的最大功率。

线绕电阻器额定功率系列为(W):1/20、1/8、1/4、1/2、1、2、4、8、10、16、25、40、50、75、100、150、250、500

非线绕电阻器额定功率系列为(W):1/20、1/8、1/4、1/2、1、2、5、10、25、50、100

4、额定电压:由阻值和额定功率换算出的电压。

5、最高工作电压:允许的最大连续工作电压。在低气压工作时,最高工作电压较低。

6、温度系数:温度每变化1℃所引起的电阻值的相对变化。温度系数越小,电阻的稳定性越好。阻值随温度升高而增大的为正温度系数,反之为负温度系数。

7、老化系数:电阻器在额定功率长期负荷下,阻值相对变化的百分数,它是表示电阻器寿命长短的参数。

8、电压系数:在规定的电压范围内,电压每变化1伏,电阻器的相对变化量。

9、噪声:产生于电阻器中的一种不规则的电压起伏,包括热噪声和电流噪声两部分,热噪声是由于导体内部不规则的电子自由运动,使导体任意两点的电压不规则变化。

四、电阻器阻值标示方法

1、直标法:用数字和单位符号在电阻器表面标出阻值,其允许误差直接用百分数表示,若电阻上未注偏差,则均为±20%。

2、文字符号法:用阿拉伯数字和文字符号两者有规律的组合来表示标称阻值,其允许偏差也用文字符号表示。符号前面的数字表示整数阻值,后面的数字依次表示第一位小数阻值和第二位小数阻值。

表示允许误差的文字符号

文字符号DFGJKM

允许偏差±0.5%±1%±2%±5%±10%±2 0%

3、数码法:在电阻器上用三位数码表示标称值的标志方法。数码从左到右,第一、二位为有效值,第三位为指数,即零的个数,单位为欧。偏差通常采用文字符号表示。

4、色标法:用不同颜色的带或点在电阻器表面标出标称阻值和允许偏差。国外电阻大部分采用色标法。

黑-0、棕-1、红-2、橙-3、黄-4、绿-5、蓝-6、紫-7、灰-8、白-9、金-±5%、银-±10%、无色-±20%

当电阻为四环时,最后一环必为金色或银色,前两位为有效数字,第三位为乘方数,第四位为偏差。当电阻为五环时,最后一环与前面四环距离较大。前三位为有效数字,第四位为乘方数,第五位为偏差。

五、常用电阻器

1、电位器

电位器是一种机电元件,他靠电刷在电阻体上的滑动,取得与电刷位移成一定关系的输出电压。

1.1合成碳膜电位器

电阻体是用经过研磨的碳黑,石墨,石英等材料涂敷于基体表面而成,该工艺简单,是目前应用最广泛的电位器。特点是分辩力高耐磨性好,寿命较长。缺点是电流噪声,非线性大,耐潮性以及阻值稳定性差。

1.2有机实心电位器

有机实心电位器是一种新型电位器,它是用加热塑压的方法,将有机电阻粉压在绝缘体的凹槽内。有机实心电位器与碳膜电位器相比具有

耐热性好、功率大、可靠性高、耐磨性好的优点。但温度系数大、动噪声大、耐潮性能差、制造工艺复杂、阻值精度较差。在小型化、高可靠、高耐磨性的电子设备以及交、直流电路中用作调节电压、电流。

1.3金属玻璃铀电位器

用丝网印刷法按照一定图形,将金属玻璃铀电阻浆料涂覆在陶瓷基体上,经高温烧结而成。特点是:阻值范围宽,耐热性好,过载能力强,耐潮,耐磨等都很好,是很有前途的电位器品种,缺点是接触电阻和电流噪声大。

1.4绕线电位器

绕线电位器是将康铜丝或镍铬合金丝作为电阻体,并把它绕在绝缘骨架上制成。绕线电位器特点是接触电阻小,精度高,温度系数小,其缺点是分辨力差,阻值偏低,高频特性差。主要用作分压器、变阻器、仪器中调零和工作点等。

1.5金属膜电位器

金属膜电位器的电阻体可由合金膜、金属氧化膜、金属箔等分别组成。特点是分辩力高、耐高温、温度系数小、动噪声小、平滑性好。

1.6导电塑料电位器

用特殊工艺将DAP(邻苯二甲酸二稀丙脂)电阻浆料覆在绝缘机体上,加热聚合成电阻膜,或将DAP电阻粉热塑压在绝缘基体的凹槽内形成的实心体作为电阻体。特点是:平滑性好、分辩力优异耐磨性好、寿命长、动噪声小、可靠性极高、耐化学腐蚀。用于宇宙装置、导弹、

飞机雷达天线的伺服系统等。

硬件电路设计基础知识

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识 一、什么是半导体 半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物)

二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 ?掺杂──管子 ?温度──热敏元件 ?光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 ?自由电子──受束缚的电子(-) ?空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 ?N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷P──+5价使自由电子大大增加原理:Si──+4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 ?P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理:Si──+4价B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。 2、PN结的结构 分界面上的情况: P区:空穴多 N区:自由电子多 扩散运动: 多的往少的那去,并被复合掉。留下了正、负离子。 (正、负离子不能移动) 留下了一个正、负离子区──耗尽区。 由正、负离子区形成了一个内建电场(即势垒高度)。 方向:N--> P 大小:与材料和温度有关。(很小,约零点几伏)

电工基础电路图讲解

电路图基础知识讲解 对一个没有电工基础,或者刚入门的从业者,都比较迷茫,都会有这么一个问题,看到电路图,无从下手,不知道该从哪边学起,下面简单介绍下一些基础知识,供大家参考。 首先,要了解各个元件的有什么功能,有什么特点。说白了就是要了解各个元件有什么作用。 其次,要了解各个元件间的组合有什么功能。 再者,要知道一些基本的电路,比如:基本的电压源与电流源之间的相互转换电路,基本的运算放大电路等等。 然后,就是可以适当的看一点复杂的电路图,慢慢了解各个电路间电流的走向。 以上所说的模拟电路,还有数字电路就是要多了解一些‘门’的运用,比如说:与非门,与或门等等。还有在一些复杂的电路图上会有集成芯片,所以,你还要了解给个芯片引脚的作用是什么,该怎么接,这些可以在网上或书上查到,再有,提到一点就是一些电路中的控制系统,有复杂的控制系统,也有简单的控制系统,我说一个简单的,比如说单片机的,你就要了解这个单片机有多少引脚,各个引脚的功能是什么,这个单片机要一什么铺助电路想连接,这样组成一个完整的电路。 想学会电路图就是要你多看,多去了解,多去接触,这样更容易学会。 一、电子电路图的意义 电路图是人们为了研究和工程的需要,用约定的符号绘制的一种表示电路结构的图形。通过电路图可以知道实际电路的情况。这样,我们在分析电路时,就不必把实物翻来覆去地琢磨,而只要拿着一张图纸就可以了;在设计电路时,也可以从容地在纸

上或电脑上进行,确认完善后再进行实际安装,通过调试、改进,直至成功;而现在,我们更可以应用先进的计算机软件来进行电路的辅助设计,甚至进行虚拟的电路实验,大大提高了工作效率。 二、电子电路图的分类 ( 一) 原理图 原理图就是用来体现电子电路的工作原理的一种电路图,又被叫做“电原理图”。这种图,由于它直接体现了电子电路的结构和工作原理,所以一般用在设计、分析电路中。分析电路时,通过识别图纸上所画的各种电路元件符号,以及它们之间的连接方式,就可以了解电路的实际工作时情况。图1 所示的就是一个收音机电路的原理图。 图一 ( 二) 方框图( 框图) 方框图是一种用方框和连线来表示电路工作原理和构成概况的电路图。从根本上说,这也是一种原理图,不过在这种图纸中,除了方框和连线,几乎就没有别的符号了。它和上面的原理图主要的区别就在于原理图上详细地绘制了电路的全部的元器

电路硬件设计基础

1.1电路硬件设计基础 1.1.1电路设计 硬件电路设计原理 嵌入式系统的硬件设计主要分3个步骤:设计电路原理图、生成网络表、设计印制电路板,如下图所示。 图1-1硬件设计的3个步骤 进行硬件设计开发,首先要进行原理图设计,需要将一个个元器件按一定的逻辑关系连接起来。设计一个原理图的元件来源是“原理图库”,除了元件库外还可以由用户自己增加建立新的元件,用户可以用这些元件来实现所要设计产品的逻辑功能。例如利用Protel 中的画线、总线等工具,将电路中具有电气意义的导线、符号和标识根据设计要求连接起来,构成一个完整的原理图。 原理图设计完成后要进行网络表输出。网络表是电路原理设计和印制电路板设计中的一个桥梁,它是设计工具软件自动布线的灵魂,可以从原理图中生成,也可以从印制电路板图中提取。常见的原理图输入工具都具有Verilog/VHDL网络表生成功能,这些网络表包含所有的元件及元件之间的网络连接关系。 原理图设计完成后就可进行印制电路板设计。进行印制电路板设计时,可以利用Protel 提供的包括自动布线、各种设计规则的确定、叠层的设计、布线方式的设计、信号完整性设计等强大的布线功能,完成复杂的印制电路板设计,达到系统的准确性、功能性、可靠性设计。 电路设计方法(有效步骤) 电路原理图设计不仅是整个电路设计的第一步,也是电路设计的基础。由于以后的设计工作都是以此为基础,因此电路原理图的好坏直接影响到以后的设计工作。电路原理图的具体设计步骤,如图所示。

图1-2原理图设计流程图 (1)建立元件库中没有的库元件 元件库中保存的元件只有常用元件。设计者在设计时首先碰到的问题往往就是库中没有原理图中的部分元件。这时设计者只有利用设计软件提供的元件编辑功能建立新的库元件,然后才能进行原理图设计。 当采用片上系统的设计方法时,系统电路是针对封装的引脚关系图,与传统的设计方法中采用逻辑关系的库元件不同。 (2)设置图纸属性 设计者根据实际电路的复杂程度设置图纸大小和类型。图纸属性的设置过程实际上是建立设计平台的过程。设计者只有设置好这个工作平台,才能够在上面设计符合要求的电路图。 (3)放置元件 在这个阶段,设计者根据原理图的需要,将元件从元件库中取出放置到图纸上,并根据原理图的需要进行调整,修改位置,对元件的编号、封装进行设置等,为下一步的工作打下基础。 (4)原理图布线 在这个阶段,设计者根据原理图的需要,利用设计软件提供的各种工具和指令进行布线,将工作平面上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 (5)检查与校对 在该阶段,设计者利用设计软件提供的各种检测功能对所绘制的原理图进行检查与校对,以保证原理图符合电气规则,同时还应力求做到布局美观。这个过程包括校对元件、导线位置调整以及更改元件的属性等。 (6)电路分析与仿真 这一步,设计者利用原理图仿真软件或设计软件提供的强大的电路仿真功能,对原理图的性能指标进行仿真,使设计者在原理图中就能对自己设计的电路性能指标进行观察、测试,从而避免前期问题后移,造成不必要的返工。

硬件电路设计基础知识

硬件电路设计基础知识 Document serial number【LGGKGB-LGG98YT-LGGT8CB-LGUT-

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识一、什么是半导体

半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物) 二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 掺杂──管子 温度──热敏元件 光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 自由电子──受束缚的电子(-) 空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显着地改变半导体的导电特性,从而制造出杂质半导体。 N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷 P──+5价使自由电子大大增加 原理: Si──+4价 P与Si形成共价键后多余了一个电子。 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理: Si──+4价 B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。

模拟电路基础 教案

教师教案(2011—2012学年第一学期) 课程名称:模拟电路基础 授课学时:64学时 授课班级:20XX级光电2-4专业任课教师:钟建 教师职称:副教授 教师所在学院:光电信息学院 电子科技大学教务处

第1章半导体材料及二极管(讲授8学时+综合训练2学时) 一、教学内容及要求(按节或知识点分配学时,要求反映知识的深度、广度,对知识点的掌握程度(了解、理解、掌握、灵活运用),技能训练、能力培养的要求等) 1.1 半导体材料及其特性:理解并掌握本征半导体与杂质半导体(P型与N 型)的导电原理,本征激发与复合、多子与少子、漂移电流与扩散电流的区别;理解并掌握PN结的形成原理(耗尽层、空间电荷区和势垒区的含义);理解PN 结的单向导电特性与电容效应。(2学时) 1.2 PN结原理:PN结的形成:耗尽层、空间电荷区和势垒区的含义,PN结的单向导电特性,不对称PN结。(2学时) 1.3 晶体二极管及应用:理解并掌握二极管单向导电原理及二极管伏安特性方程;理解二极管特性随温度变化的机理;理解并掌握二极管的四种等效电路及选用原则与区别;理解并掌握二极管主要参数;了解不同种类二极管区别(原理),了解硅管与锗管的区别;理解稳压二极管的工作原理。(4学时) 二、教学重点、难点及解决办法(分别列出教学重点、难点,包括教学方式、教 学手段的选择及教学过程中应注意的问题;哪些内容要深化,那些内容要拓宽等等) 重点:半导体材料及导电特性,PN结原理,二极管单向导电特性及二极管方程,二极管伏安特性曲线及其温度特性。 难点:晶体二极管及应用,PN结的反向击穿及应用。 三、教学设计(如何讲授本章内容,尤其是重点、难点内容的设计、构思) 重点讲解二极管的单向导电性,二极管单向导电特性及二极管方程,二极管伏安特性曲线及其温度特性,二极管导通电压与反向饱和电流,二极管的直流电阻与交流电阻。反向击穿应用:设计基本稳压管及电路。

硬件基础知识

第三章硬件基础知识学习 通过上一课的学习,我们貌似成功的点亮了一个LED小灯,但是还有一些知识大家还没有 彻底明白。单片机是根据硬件电路图的设计来写代码的,所以我们不仅仅要学习编程知识,还有硬件知识,也要进一步的学习,这节课我们就要来穿插介绍电路硬件知识。 3.1 电磁干扰EMI 第一个知识点,去耦电容的应用,那首先要介绍一下去耦电容的应用背景,这个背景就是电磁干扰,也就是传说中的EMI。 1、冬天的时候,尤其是空气比较干燥的内陆城市,很多朋友都有这样的经历,手触碰到电脑外壳、铁柜子等物品的时候会被电击,实际上这就是“静电放电”现象,也称之为ESD。 2、不知道有没有同学有这样的经历,早期我们使用电钻这种电机设备,并且同时在听收音机或者看电视的时候,收音机或者电视会出现杂音,这就是“快速瞬间群脉冲”的效果,也称之为EFT。 3、以前的老电脑,有的性能不是很好,带电热插拔优盘、移动硬盘等外围设备的时候,内部会产生一个百万分之一秒的电源切换,直接导致电脑出现蓝屏或者重启现象,就是热插拔的“浪涌”效果,称之为Surge... ... 电磁干扰的内容有很多,我们这里不能一一列举,但是有些内容非常重要,后边我们要一点点的了解。这些问题大家不要认为是小问题,比如一个简单的静电放电,我们用手能感觉到的静电,可能已经达到3KV以上,如果用眼睛能看得到的,至少是5KV了,只是因为 这个电压虽然很高,电量却很小,因此不会对人体造成伤害。但是我们应用的这些半导体元器件就不一样了,一旦瞬间电压过高,就有可能造成器件的损坏。而且,即使不损坏,在2、3里边介绍的两种现象,也严重干扰到我们正常使用电子设备了。 基于以上的这些问题,就诞生了电磁兼容(EMC)这个名词。这节课我们仅仅讲一下去耦

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

电子电路设计的基础知识

电子电路设计的基础知识 一、电子电路的设计基本步骤: 1、明确设计任务要求: 充分了解设计任务的具体要求如性能指标、内容及要求,明确设计任务。 2、方案选择: 根据掌握的知识和资料,针对设计提出的任务、要求和条件,设计合理、可靠、经济、可行的设计框架,对其优缺点进行分析,做到心中有数。 3、根据设计框架进行电路单元设计、参数计算和器件选择: 具体设计时可以模仿成熟的电路进行改进和创新,注意信号之间的关系和限制;接着根据电路工作原理和分析方法,进行参数的估计与计算;器件选择时,元器件的工作、电压、频率和功耗等参数应满足电路指标要求,元器件的极限参数必须留有足够的裕量,一般应大于额定值的1.5倍,电阻和电容的参数应选择计算值附近的标称值。 4、电路原理图的绘制: 电路原理图是组装、焊接、调试和检修的依据,绘制电路图时布局必须合理、排列均匀、清晰、便于看图、有利于读图;信号的流向一般从输入端或信号源画起,由左至右或由上至下按信号的流向依次画出务单元电路,反馈通路的信号流向则与此相反;图形符号和标准,并加适当的标注;连线应为直线,并且交叉和折弯应最少,互相连通的交叉处用圆点表示,地线用接地符号表示。 二、电子电路的组装 电路组装通常采用通用印刷电路板焊接和实验箱上插接两种方式,不管哪种方式,都要注意: 1.集成电路:

认清方向,找准第一脚,不要倒插,所有IC的插入方向一般应保持一致,管脚不能弯曲折断; 2.元器件的装插: 去除元件管脚上的氧化层,根据电路图确定器件的位置,并按信号的流向依次将元器件顺序连接; 3.导线的选用与连接: 导线直径应与过孔(或插孔)相当,过大过细均不好;为检查电路方便,要根据不同用途,选择不同颜色的导线,一般习惯是正电源用红线,负电源用蓝线,地线用黑线,信号线用其它颜色的线;连接用的导线要求紧贴板上,焊接或接触良好,连接线不允许跨越IC或其他器件,尽量做到横平竖直,便于查线和更换器件,但高频电路部分的连线应尽量短;电路之间要有公共地。 4.在电路的输入、输出端和其测试端应预留测试空间和接线柱,以方便测量调试; 5.布局合理和组装正确的电路,不仅电路整齐美观,而且能提高电路工作的可靠性,便于检查和排队故障。 三、电子电路调试 实验和调试常用的仪器有:万用表、稳压电源、示波器、信号发生器等。调试的主要步骤。 1.调试前不加电源的检查 对照电路图和实际线路检查连线是否正确,包括错接、少接、多接等;用万用表电阻档检查焊接和接插是否良好;元器件引脚之间有无短路,连接处有无接触不良,二极管、三极管、集成电路和电解电容的极性是否正确;电源供电包括极性、信号源连线是否正确;电源端对地是否存在短路(用万用表测量电阻)。 若电路经过上述检查,确认无误后,可转入静态检测与调试。 2.静态检测与调试 断开信号源,把经过准确测量的电源接入电路,用万用表电压档监测电源电压,观察有无异常现象:如冒烟、异常气味、手摸元器件发烫,电源短路等,如发现异常情况,立即切断电源,排除故障; 如无异常情况,分别测量各关键点直流电压,如静态工作点、数字电路各输入端和输出端的高、低电平值及逻辑关系、放大电路输入、输出端直流电压等是否在

模拟电子技术电路设计

一、课程设计目的 1通过课程设计了解模拟电路基本设计方法以及对电路图进行仿真,加深对所学理论知识的理解。 2通过解决比较简单的电路图,巩固在课堂上所学的知识和实验技能。 3综合运用学过的知识,并查找资料,选择、论证方案,完成电路设计并进行仿真,分析结果,撰写报告等工作。 4 使学生初步掌握模拟电子技术电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力。 二、方案论证 2.1设计思路 一般来说,正弦波振荡电路应该具有以下四个组成部分: 1.放大电路 2.反馈网络 3.选频网络 4.稳幅环节 其中放大电路和反网络构成正反馈系统,共同满足条件1=? ? F A 选频网络的作用是实现单一频率的正弦波振荡。稳幅环节的作用是使振荡幅度达到稳定,通常可以利用放大元件的非线形特性来实现。 如果正弦波振荡电路的选频网络由电阻和电容元件组成,通常成为RC振荡电路。 2.2工作原理

1.电路组成 振荡电路的电路图如2.3原理图所示。其中集成运放A 工作在放大电路,RC 串并联网络是选频网络,而且,当 f f o = 时,它是一个接成正反馈的反馈 网络。另外,R f 和R ' 支路引入一个负反馈。由原理图可见 RC 串并联网络中的串联支路和并联支路,以及负反馈支路中的R F 和R ' ,正好组成一个电桥的四个臂,所以又称文氏电桥振荡电路。 2.振荡频率和起振条件 (1)振荡频率 为了判断电路是否满足产生振荡的相位平衡条件,可假设在集成运放的同相输入端将电路断开,并加上输入电压? Ui 。由于输入电压加在同相输入端,故集成运放的输出电压与输入电压同相,即0=A ?已经知道,当 f f o = 时,RC

模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计基础知识(笔试时候容易遇到的 题目) 1、最基本的如三极管曲线特性(太低极了点) 2、基本放大电路,种类,优缺点,特别是广泛采用差分结构的原因 3、反馈之类,如:负反馈的优点(带宽变大) 4、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法 5、锁相环电路组成,振荡器(比如用D触发器如何搭) 6、A/D电路组成,工作原理如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究 ic设计的话需要熟悉的软件adence, Synopsys, Advant,UNIX当然也要大概会操作实际工作所需要的一些技术知识(面试容易问到) 如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。 2、数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等比如:设计一个自动售货

机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1、画出fsm(有限状态机)2、用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3、单片机、DSP、FPG A、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题 DSP的结构(冯、诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类 (Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4、信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如、h(n)=-a*h(n-1)+b*δ(n) a、求h(n)的z变换 b、问该系统是否为稳定系统 c、写出F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz 用mos管搭出一个二输入与非门。 用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。 名词解释,无聊的外文缩写罢了,比如PCI、EC C、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换) 或者是中文的,比如 a量化误差 b、直方图 c、白平衡共同的注

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

模拟电路设计方案书微积分电路设计方案书

模拟电路设计(九)微分、积分电路 作者:宇量文章来源:Internet 点击数:613 更新时间:2007-1-28 23:13:57 内容标题导览:|积分电路|OP增幅积分电路的误差|利用实验观察积分电路的动作|微分电 路| 本章节要介绍如何利用电阻与电容制作负归返电路,进行微分与积分的演算,由于积分电路几乎都是使用模拟电路,为了使工程人员对对微分与积分有更深入的了解,因此最后会复习相关基础理论。 积分电路 积分电路属于应用非常广泛的电路,而且积分电路几乎都是使用模拟电路。积分的运作可以使信号的变动平均化,同时降低杂信的影响。由于最近几乎不再使用OP增幅器单体的积分演算电路,因此接着要讨论的对象是以可将波形作A-D转换,同时还可将数字资料作积分的电路为主。 ?积分电路的概念 图1(a)是积分电路的基本概念,该电路的输出入特性可用下式表示: 通常V out(0) 的初期值会被视为0,不过实际动作时却往往无法忽略,这种情况必需使后述的积分电容短路,同时尽量使0 reset。若使用式(1)的符号重新整理,则输出入传达关数G(jω)可用下

示表示: 以上式子若作成图标就变成图1(b)的频率特性图,图中的积分电路的gain会与频率成反比,并以-6dB/oct速度变化,而位相则延迟900。 图1 积分电路的概念图与频率特性 ?利用CR的积分电路 图2(a)是CR积分电路,假设图2(b)输入信号V ST(step关数)时,输出V out就可用下示表示:

CR为具备时间次元的时定数(T)。图2(c)是时间与输出电压的反应特性,如果超过5T以上等待时间,输出电压几乎可说是与输入电压相同,本电路的输出入传达关数G(jω)如下所示: 图3的点线表示频率特性并非真实的积分电路,若要获得近似性积分动作,必需是在ω>1/CR 的前提下才能达成,具体方法是使ω>10/CR 。

硬件电路设计基础知识.docx

硬件电子电路基础关于本课程 § 4—2乙类功率放大电路 § 4—3丙类功率放大电路 § 4—4丙类谐振倍频电路 第五章正弦波振荡器 § 5—1反馈型正弦波振荡器的工作原理 § 5— 2 LC正弦波振荡电路 § 5— 3 LC振荡器的频率稳定度 § 5—4石英晶体振荡器 § 5— 5 RC正弦波振荡器

第一章半导体器件 §1半导体基础知识 §1PN 结 §-1二极管 §1晶体三极管 §1场效应管 §1半导体基础知识 、什么是半导体半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si锗Ge等+ 4价元素以及化合物) 、半导体的导电特性本征半导体一一纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略)

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂一一管子 *温度--- 热敏元件 ?光照——光敏元件等 2、半导体中的两种载流子一一自由电子和空穴 ?自由电子——受束缚的电子(一) ?空穴——电子跳走以后留下的坑(+ ) 三、杂质半导体——N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 *N型半导体(自由电子多) 掺杂为+ 5价元素。女口:磷;砷P—+ 5价使自由电子大大增加原理:Si—+ 4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子——数量少。 o掺杂后由P提供的自由电子——数量多。 o 空穴——少子 o 自由电子------ 多子 ?P型半导体(空穴多) 掺杂为+ 3价元素。女口:硼;铝使空穴大大增加 原理:Si—+ 4价B与Si形成共价键后多余了一个空穴。 B——+ 3价 载流子组成: o本征激发的空穴和自由电子数量少。 o掺杂后由B提供的空穴——数量多。 o 空穴——多子 o 自由电子——少子

《模拟电子技术基础》学习心得

《模拟电子技术基础》学习心得 自动化一班刘文杰20151506087 时间过得真快,为期一学期的《模拟电子技术基础》的学习就快结束了。还清晰记得开学初刚拿到这本书的时候,面对如此厚的一本书,彷佛感受到了今后学习的艰辛。刚开始接触时,感觉这门课真的很难,虽然时间花得比较多,但还是收效甚微。在后来的几个星期,我下定决心坚持预习,在自己的努力下,终于跟上了老师的思路和进度,收效与时间成正比。总的来说,感觉对这门课程的吸收还是比较理想的。 很高兴自己能够遇上如此负责的老师。每次上课听着老师亲切的声音和不间断的讲解,我都彷佛能够看到老师辛苦备课的情形。听了老师的课后,我对书中内容的了解更加清晰和深刻了,课后不用花很多时间来巩固,有种事半功倍的成就感。老师对作业的要求也使我获利不少,因为老师每次交作业后都会仔细讲解一番。我觉得这样自己能够更加积极主动地去对待作业,可以更加自由地支配作业、预习、巩固的时间,使时间的利用率最高。另一方面,我觉得老师不仅是传授我们知识,更是教我们如何做人,尤其是在守时和尊重人方面。要想获得他人的尊重,首先要学会尊重他人。 上完了这学期的《模拟电子技术基础》课,收获了很多,既扩充了自己的知识和思维,又懂得如何更加完善地做人。第一,掌握一些思考的方法,对待问题比较严谨。解决一个问题,应该选用正确的方法,否则将会很难甚至无法解决一

个问题。例如,在求不同组态负反馈的电压放大倍数时,不同组态有不同的方法,方法上必须要对应。对于同一问题的不同解法,尤其要注意方法的适用范围,在合适的范围内使用方法。例如,在用微变等效电路求解有关基本放大电路时,只有输入信号是低频小信号时才成立,否则会造成很大的误差甚至是错误。在分析一些比较复杂的问题时,要学会站在更高的层次看待问题,要学会模块化地分析问题而不局限于其中的每个元件。例如,在运算放大电路分析中,在掌握基本模块如反相比例运放、同相比例运放等的前提下,对一些较为复杂的电路,可利用叠加原理看成是这些基本模块的叠加,从而简化问题的分析。第二,对一些工程思想有了初步的认识。俗话说:人无完人。当然作为每个具体的电路,在具有优点的同时肯定具有缺点的。我们分析问题的时候,不能一味地钻牛角尖,幻想找到一个能够十全十美解决问题的方法。很多时候,我们可以根据实际的要求,作一些合理的近似。先主要考虑最主要因素的影响,而忽略一些次要因素的影响,然后再在主要因素主导的方向下,结合实际的要求考虑其他次要因素。这样做往往能很大程度上简化问题,但又不会产生很大的误差。最后,自己更加深刻体会到了守时的重要性。虽然我们不能驾驭时间,但时间观淡薄的人将不会有很大的成就。守时不是一种形式,而是一种态度! 黑夜中的船因有灯塔而不会迷失方向,而我坚信:《模拟电子技术基础》课上的收获,将使我终生受用。

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

硬件工程师必用20个电子线路图

这20个电子线路图,硬件工程师一定用得上! 电子技术、无线电维修及电子制造工艺技术绝不是一门容易学好、短时间内就能够掌握的学科。这门学科所涉及的方方面面很多,各方面又相互联系,作为初学者,首先要在整体上了解、初步掌握它。 无论是无线电爱好者还是维修技术人员,你能够说出电路板上那些小元件叫做什么,又有什么作用吗?如果想成为元件(芯片)级高手的话,掌握一些相关的电子知识是必不可少的。 普及与电子基础知识,拓宽思路交流,知识的积累是基础的基础,基础和基本功扎实了才能奠定攀登高峰阶梯!这就是基本功。 电子技术的历史背景: 早在两千多年前,人们就发现了电现象和磁现象。我国早在战国时期(公元前475一211年)就发明了司南。而人类对电和磁的真正认识和广泛应用、迄今还只有一百多年历史。在第一次产业革命浪潮的推动下,许多科学家对电和磁现象进行了深入细致的研究,从而取得了重大进展。人们发现带电的物体同性相斥、异性相吸,与磁学现象有类似之处。 1785年,法国物理学家库仑在总结前人对电磁现象认识的基础上,提出了后人所称的“库仑定律”,使电学与磁学现象得到了统一。 1800年,意大利物理学家伏特研制出化学电池,用人工办法获得了连续电池,为后人对电和磁关系的研究创造了首要条件。 1822年,英国的法拉第在前人所做大量工作的基础上,提出了电磁感应定律,证明了“磁”能够产生“电”,这就为发电机和电动机的原理奠定了基础。 1837年美国画家莫尔斯在前人的基础上设计出比较实用的、用电码传送信息的电报机,之后,又在华盛顿与巴尔的摩城之间建立了世界上第一条电报线路。 1876 年,美国的贝尔发明了电话,实现了人类最早的模拟通信。英国的麦克斯韦在总结前人工作基础上,提出了一套完整的“电磁理论”,表现为四个微分方程。这那就后人所称的“麦克斯韦方程组”.麦克斯韦得出结论:运动着的电荷能产生电磁辐射,形成逐渐向外传播的、看不见的电磁波。他虽然并未提出“无线电”这个名词,但他的电磁理论却已经告诉人们,“电”是能够“无线”传播的。 对模拟电路的掌握分为三个层次:

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

相关文档
最新文档