Quartus II 使用方法——数字电路课程设计实验
(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交.文件命名规则如“通1_王五_学号”一、实验目的1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使用方法。
2. 掌握用中规模继承电路构成逻辑电路的设计方法。
3. 了解EDA软件平台Quartus II的使用方法及主要功能。
二、预习要求1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。
2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。
3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。
三、实验基本原理1.译码器译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。
译码器按功能可分为两大类,即通用译码器和显示译码器。
通用译码器又包括变量译码器和代码变换译码器。
变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。
这种译码器可称为唯一地址译码器。
如3线—8线、4线—16线译码器等。
显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。
如BCD-七段显示译码器等。
2.数据选择器数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。
因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。
一般数据选择器有n 个地址输入端,2n错误!未找到引用源。
个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。
目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。
3.计数器计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。
74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。
数电实验报告

一,实验结果分析实验一:Quartus II 原理图输入法设计(2)实验名称:设计实现全加器实验任务要求:用实验内容(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
原理图:仿真波形图:仿真波形图分析:输入a,b代表加数与被加数,输入c代表低位向本位的进位。
输出s代表本位和,输出co代表向高位的进位。
可得真值表为:实验三:用VHDL设计与实现时序逻辑电路(3)实验名称:连接8421计数器,分频器和数码管译码器实验任务要求:用VHDL语言设计实现一个带异步复位的8421码十进制计数器,分频器的分频系数为25k,并用数码管显示数字。
VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity div isport(clk1 : in std_logic;clk_out : out std_logic);end;architecture d of div issignal cnt : integer range 0 to 12499999;signal clk_tmp : std_logic;beginprocess(clk1)beginif (clk1'event and clk1='1') thenif cnt=12499999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END count10;ARCHITECTURE count OF count10 ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk2,clear2)BEGINIF clear2='1' THEN q_temp<="0000";ELSIF (clk2'event AND clk2='1') THENIF q_temp="1001" THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END count;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY seg7 ISPORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END seg7;ARCHITECTURE show OF seg7 ISBEGINPROCESS(a)BEGINCASE a ISWHEN"0000"=>b<="1111110";WHEN"0001"=>b<="0110000";WHEN"0010"=>b<="1101101";WHEN"0011"=>b<="1111001";WHEN"0100"=>b<="0110011";WHEN"0101"=>b<="1011011";WHEN"0110"=>b<="1011111";WHEN"0111"=>b<="1110000";WHEN"1000"=>b<="1111111";WHEN"1001"=>b<="1111011";WHEN OTHERS=>B<="0000000";END CASE;END PROCESS;cat1<="111011";END show;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jishuqi8421 isport(clk,clear:IN STD_LOGIC;cout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end jishuqi8421;architecture ji of jishuqi8421 iscomponent div25mport(clk1 : in std_logic;clk_out : out std_logic);end component;component count10PORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); end component;component seg7PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end component;signal c:std_logic;signal d:std_logic_vector(3 downto 0);beginu1:div port map(clk1=>clk,clk_out=>c);u2:count10 port map(clk2=>c,clear2=>clear,q=>d); u3:seg7 port map(a=>d,b=>cout,cat1=>cat);end ji;仿真波形图:(由于实际使用的50000000分频不方便仿真,仿真时使用12分频)仿真波形图分析:每隔12个时钟信号计数器的值会增加1,直到计数器的值为9时,再次返回0计数。
quartus ii实验报告

quartus ii实验报告Quartus II实验报告引言:Quartus II是一款由Intel公司开发的集成电路设计软件,广泛应用于数字逻辑设计和FPGA开发领域。
本实验报告旨在介绍Quartus II的基本功能和使用方法,并通过实际案例展示其在数字逻辑设计中的应用。
一、Quartus II概述Quartus II是一款功能强大的集成电路设计软件,它提供了从设计到验证的全套工具。
Quartus II支持多种编程语言,如VHDL和Verilog,使得用户可以根据自己的需求选择适合的语言进行设计。
此外,Quartus II还提供了丰富的库和模块,方便用户进行快速原型开发和验证。
二、Quartus II的基本功能1. 设计入口Quartus II提供了多种设计入口,包括图形界面、命令行和脚本等方式。
用户可以根据自己的习惯和需求选择适合的方式进行设计。
图形界面友好易用,适合初学者;命令行和脚本则更适合有一定经验和需求的用户。
2. 设计编辑Quartus II提供了强大的设计编辑功能,用户可以在其中创建和编辑设计模块、信号线和电路连接等。
设计编辑界面清晰简洁,用户可以方便地进行设计布局和调整。
3. 仿真和验证Quartus II内置了仿真和验证工具,用户可以通过仿真来验证设计的正确性和性能。
仿真工具支持波形查看和信号分析等功能,帮助用户进行设计调试和优化。
4. 综合和优化Quartus II具备强大的综合和优化功能,可以将设计代码转化为硬件描述,进而生成逻辑电路。
综合工具会根据用户的约束条件和优化目标,自动进行逻辑优化和资源分配,提高设计的性能和效率。
5. 布局和布线Quartus II提供了先进的布局和布线工具,可以将逻辑电路映射到实际的FPGA芯片上。
布局工具可以根据用户的约束条件和性能要求,自动进行电路元件的位置分配;布线工具则负责将电路元件之间的连接线路进行规划和布线。
6. 下载和调试Quartus II支持将设计文件下载到目标FPGA芯片上,并提供了调试工具来验证和调整设计的正确性。
QUARTUSII实验

在“Program Device”对话框 中,选择合适的编程文件和编 程方式,如JTAG或AS模式。
通过观察测试平台的输出结果 ,可以验证设计的正确性和实 际硬件性能。
04
Quartus II 实验内容
数字钟设计
总结词:通过 Quartus II 软件实现数字 钟设计,掌握数字钟的工作原理和实现 方法。
解决方案
首先,需要确保开发板与计算机连接正确。然后,根据 开发板的型号和Quartus II软件的版本,选择合适的配 置参数进行下载。
对 Quartus II 的建议和展望
优化软件界面和操作流程,提高用户 的使用体验。
期待Quartus II软件在未来能够提供 更多的高级功能和优化选项,以满足 更复杂的设计需求。
首先,需要仔细阅读编译错误提示,了解错误的具体原 因。然后,检查代码是否存在语法错误或逻辑错误,并 尝试修改代码以解决问题。
问题2
仿真结果与预期不一致,怎么办?
解决方案
首先,需要仔细检查代码是否存在逻辑错误或时序问题 。然后,调整仿真参数或修改代码以优化仿真结果。
问题3
如何将设计下载到FPGA开发板?
使用 Quartus II 软件 进行编译和仿真,确 保设计正确无误。
将设计下载到 FPGA 开发板,通过串口与 计算机或其他设备进 行数据交换。
05
Quartus II 实验总结
实验收获和体会
掌握Quartus II软件的基本操作
通过本次实验,我掌握了如何使用Quartus II软件进行FPGA设计,包括项目的新建、设 计输入、编译、仿真以及下载等步骤。
理解数字电路设计流程
通过实验,我深入理解了数字电路设计的整个流程,包括需求分析、设计、仿真、调试和 实现等环节。
QuartusII软件使用及设计流程

时序优化
时序分析
在Quartus II中进行时序分析,确保设计满足时序要求,找出关键 路径并优化。
延迟调整
通过调整逻辑单元的时序参数,减小关键路径的延迟,提高时钟频 率。
布局优化
合理安排逻辑单元的位置,减小信号传输延迟,提高时序性能。
面积优化
优化算法
采用高效的算法和优化策略,减小设计规模, 降低面积成本。
低功耗硬件选择
选择低功耗硬件器件和IP核,从硬件层面降低功 耗。
06
Quartus II实际应用案 例
数字钟设计案例
总结词
数字钟设实现数字钟的原理图 设计和编程。
详细描述
首先,在Quartus II软件中创建一个新的工程,选择合适的FPGA芯片型号。然后,使 用原理图输入方式设计数字钟电路,包括分频器、计数器、译码器等模块。接着,进行 仿真测试以确保设计正确。最后,将设计文件下载到FPGA芯片中,完成数字钟的硬件
保存配置
完成配置后,保存配置文件以便以后使用。
许可证激活与验证
获取许可证文件
从Altera官网或授权合作伙伴处获取Quartus II软件 的许可证文件。
激活许可证
运行许可证激活程序,输入许可证文件中的激活码进 行激活。
验证许可证
启动Quartus II软件,验证许可证是否有效,确保软 件正常使用。
完成串口通信的硬件实现。
THANKS FOR WATCHING
感谢您的观看
Quartus II软件中提供了大量的IP核,用户可以直接调用这些IP核进行设计, 避免了重复造轮子,提高了设计效率。
IP核定制
对于一些特殊需求,用户可以通过定制IP核的方式实现。Quartus II软件提供了 IP核定制工具,用户可以根据需求对IP核进行修改和定制,以满足特定设计要求。
实验一 Quartus II使用与基本逻辑电路的设计

实验一Quartus II使用与基本逻辑电路的设计[实验目的]1、熟悉Quartus II的文本输入方式, 掌握其编辑、编译综合、仿真的操作方法;2、学习Quartus II环境下的编程下载及硬件测试方法;3、学习应用QuartusII完成基本时序电路设计;4、应用QuartusII完成基本组合电路的设计。
*[实验仪器]PC机、EDA实验箱一台Quartus II 6.0软件[实验内容](1) 实验内容1:在QuartusⅡ上输入该设计的文本,并进行编辑、编译、综合、适配、仿真。
说明设计中各语句的作用,详细描述设计的功能特点,给出其所有信号的时序仿真波形。
(2) 实验内容2:引脚锁定以及硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
* (3) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考4.3节。
* (4) 实验内容4:从设计中去除SignalTap II,要求全程编译后生成用于配置器件EPCS1编程的压缩POF文件,并使用ByteBlasterII,通过AS模式对实验板上的EPCS1进行编程,最后进行验证。
*(5) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端(采用时钟选择clock0=12MHz,计数器时钟CLK分别选择256Hz、16384Hz、6MHz),并进行实时测试。
[实验原理]数字逻辑电路中,根据逻辑功能的不同特点,可以把数字逻辑电路分成组合逻辑电路和时序逻辑电路两大类用。
在组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路的原来状态无关;在时序逻辑电路中,任一时刻的输出信号不仅取决于当时的输入信号,而且还取决于电路原来的状态,也就是与电路原来的状态有关。
在数字系统中使用的最多的时序电路要算是计数器了。
计数器应用非常广泛,可以以用于对时钟脉冲的计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列等。
实验一 Quartus II的使用1

实验一、Quartus II的使用一、实验目的:(1)熟悉Quartus II开发环境的使用(2)掌握利用Quartus II进行简单数字电路设计的基本流程及方法(3)掌握Quartus II开发环境中建立电路图的方法(4)了解Quartus II下简单设置输入激励的方法二、实验步骤下面以1位全加器为例介绍如何使用Altera Quartus II设计软件来对可编程逻辑器件进行编程。
(1)双击桌面上Quartus II 图标,运行Quartus II 软件,如图所示:图1 Quartus II 软件界面(2)建立工程。
选择菜单File→New Project Wizard,如图2所示图2 选择建立新工程向导菜单项单击菜单项New Project Wizard 后,出现向导提示框,单击按钮Next,出现如图3所示New Project Wizard 对话框界面,在该界面中输入相应工程名称和存放路径,然后单击按钮Next。
图3 New Project Wizard对话框界面出现如图4所示的Add Files 对话框界面,在File name 栏中输入文件名称,如“Adder”。
图4 Add Files对话框界面出现如图 5 所示的器件设置对话框界面,实验系统使用的是MAXII 系列的EPM1270T144C5 芯片,找到该器件后选中它,然后一直单击按钮Next,完成新工程的建立图5 器件设置对话框界面(3)新建设计文件建立新工程后,选择菜单File→New,弹出如图6 所示的新建设计文件选择窗口。
选择框中的Device Design Files 页下的项目Block Diagram/Schematic File,使用图形设计方式,单击按钮OK,则打开了图形编辑器窗口。
图6 新建设计文件选择框选择菜单File→Save As,在文件保存对话框中输入文件名,如Adder,然后单击按钮“保存”,则创建了图形设计文件Adder(4)设计逻辑电路。
QuartusII简明操作指南

Quartus II简明操作指南在前面的实验里,我们所有的实验都是基于这样一个观点,即将一个数字系统划分成合适利用已有的中小规模数字集成电路的功能的模块,然后将这些集成电路通过外部引线连接起来。
现在开始我们将研究用可编程逻辑器件(PLD/FPGA)来进行数字系统设计。
可编程逻辑器件是一种大规模的集成电路,其内部预置了大量易于实现各种逻辑函数的结构,同时还有一些用来保持信息或控制连接的特殊结构,这些保持的信息或连接确定了器件实现的实际逻辑功能,当改变这些信息或连接时器件的功能也将随之改变。
可编程逻辑器件的设计过程和传统的中小规模数字电路设计也不一样,可编程数字系统,无论是CPLD 还是FPGA器件都需要利用软件工具来进行设计。
可编程数字系统设计总体上一般可以分为设计输入、项目处理、设计校验和器件编程这四个主要过程。
下面我们将一个简单的模60BCD计数器为例,说明可编程数字系统设计的基本流程、概念和方法,掌握Quart us II 软件的基本功能和操作,了解原理图输入方式的设计全过程。
一、设计项目输入设计输入是设计者对系统要实现的逻辑功能进行描述的过程。
设计输入有多种表达方式,本次我们主要学习图形输入法。
1.1 建立工程项目1.打开Quart us II,在File菜单中选择New Project Wizard项,将出现工程项目建立向导对话框。
2.点击“Next”,进入到相应的对话框,在最上面的文本输入框中输入项目所在的目录名(注意:不能用中文名,下同),在中间的文本输入框中输入项目名称,在最下面的文本输入框中输入最顶层模块的名称。
3.点击“Next”,进入到设计文件选择对话框,由于在本例中还没有任何设计文件,所以不选择任何文件。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
• wire A1not,A2not,A3not,Enot; //定义电路内部节点信号
• not n1(A1not,A1), //非门调用
•
n2(A2not,A2),
•
n3(A3not,A3),
•
n4(Enot,E);
• and n5(Y[0],A3not,A2not,A1not,Enot), //与门调用
4 Timing Analysis(时序分析) • Timing Analyzer • Report Window • Technology Map Viewer
5 Simulation(仿真) • Simulator • Waveform Editor
QuartusⅡ的图形用户界面功能
6 Programming(编程) • Assembler • Programmer • Convert Programming Files
• 或按Shift + F1键,鼠标变为一个问号,在图元、宏单元符号等屏幕显 示的任何项目上单击鼠标左键,可获得该项目的帮助;
• 或单击工具栏上的帮助按钮 击想要获得帮助的项目。
,鼠标也会变为一个问号,然后单
Quartus Ⅱ的设计流程
自上而下的方式
(1)
(2)
(3)
全编译
(4)
(5) (6) (7)
• 仿真工具 • ModelSim* • ModelSim OEM* • Cadence Verilog-XL • Innoveda BLAST • PrimeTime* • Synopsys VCS • Synopsys VSS
注意* 表示支持内部链接
QuartusⅡ简介
• QuartusⅡ的启动界面
• FLEX 10K/A/E, ACEX 1K, FLEX 6000, MAX 7000S/AE/B, MAX 3000A Devices
支持的第三方工具
• 综合工具 • Leonardo Spectrum* • Design Compiler • FPGA Compiler II • Standard* & • Altera Edition* • FPGA Express* • Synplicity Synplify*
•
input E; //输入使能
•
assign Y=(E==0)?(1‘b1<<A):8’b00000000; //移位操作
• Endmodule
*说明:该程序放在Quartus II 的安装目录“C:\altera\80\quartus”的 decode文件夹下。如未建立该工作目录,请先将程序_3to8decode.v 文件放在“C:\altera\80\quartus\decode”目录下。下面的具体步骤都是 以该安装目录建立工程。请按照“#”后的步骤操作。
器件系列名称 器件涮选信息 具体器件列表
建立工程环境
# • 5. 指定第三方对代码进行 综合和仿真的工具(需要 时) ¾ 在安装Quartus II软 件时,缺省的情况下 安装了综合和仿真工 具,也可以选择 Quartus II软件支持 的其它综合或仿真工 具。 ¾ 在弹出的对话框中, 选择适当的工具,或 者什么也不选,单击
设计要求 设计输入 功能仿真
综合 布局布线 时序分析 时序仿真 编程、配置
设计修改
设计输入
• Quartus II – Text Editor文本输入 • AHDL, VHDL, Verilog HDL – Memory Editor存储器输入 • HEX, MIF – Schematic Design Entry图形设计输入
• LogicLock Window • Floorplan Editor
• Design Space Explorer
• VQM Writer
QuartusⅡ的图形用户界面功能
• 13 Debugging(调试) • SignalTap II • SignalProbe • In-System Memory Content Editor • RTL Viewer • Technology Map Viewer • Chip Editor
建立工程环境
• 一、 创建工程 # • 1. 打开创建工程向导
¾ 执行菜单命令“ File > New Project Wizard”, 打开Introduction对话框。
单击“Next”。
# • 2. 选择所建立工程的工作 目录,输入工程名称、顶 层实体名 ¾ 工程名称可以是任何 名字,建议使用和顶 层实体名相同的名字。 ¾ 顶层实体名称必须和 顶层文件名字相同! ¾ 单击“Next”。
• 14 Engineering Change Management(工程变动管理) • Chip Editor • Resource Property Editor • Change Manage
如何在线获取帮助?
• 按F1键,可打开帮助窗口,即时访问对话框上的信息、高亮度的菜单命 令或弹出式信息;
EDA Tool
Provider
Description
MAX+PLUSⅡ
Altera
早期PLD开发工具,易于学 习和使用
Quartus Ⅱ
Altera
第4代EDA工具,功能强大, 并适于 SOPC 开发
Foundation
Xilinx Xilinx的早期 PLD 开发工具
ISE
ispDesignEXPERT ,ispLEVER
数字系统设计
-------Quartus Ⅱ的Verilog HDL建 模与仿真
常• 用P的LDP设L计D设ED计A工E具DA软工件具的分软类件工可的具以阶完使段成用选整几用个种不设不同计同的!的即工不E具只D同A支持本公
1. 由芯片制造商提供
司的PLD
– 提供了一个CPLD/FPGA 的完全集成化设计环境
2 Synthesis(综合) • Analysis & Synthesis • VHDL, Verilog HDL & AHDL • Design Assistant • RTL Viewer • Technology Map Viewer • Incremental Synthesis
3 Place & Route(布局布线) • Fitter • Assignment Editor • Floorplan Editor • Chip Editor • Report Window • Resource Optimization Advisor • Design Space Explorer
Provider
Mentor Graphics
Synplicity Mentor Graphics
Synopsys Mentor Graphics
Aldec Cadence
Description
包括 HDL Designer Series (可视化的 设计输入工具), ModelSim(仿真工 具),Precision RTL Synthesis (最 新的逻辑综合器)。 优秀的逻辑综合工具
设计实例1
¾ 1 门级描述(程序如下)
顶层实体名称
//Gate-level description of a 3-to-8line decoder (Figure 1)
• module _3to8decode(A1,A2,A3,E,Y);
• input A1,A2,A3,E; //定义输入信号
• output[7:0] Y; //定义输出信号
Xilinx Lattice
Xilinx的最新 PLD开发工具 2002年推出ispLEVER
常用的PLD设计EDA工具软件
支持多家公
2. 由专业EDA软件商提供——第三方设计软件 司的PLD
EDA Tool
FPGA Advantage
Synplify LeonardoSpectrm FPGA Compiler II ModelSim Active HDL NC-Verilog/ NCVHDL/NC-SIM
此处为安装的默认路径 此处为_3to8decode 此处为_3to8decode
第3步也可以略过,以后再创建设计文件,或者以后 再用“Project>Add/Remove Files in Project…”命令将其 他工作目录中的文件添加到本工程中。
• 3. 加入设计文件 (必要时)
# ¾ (1)单击Add按 钮,从其他工作目 录中选择所需要的 现成的源文件(只 是指向该文件位 置) ;如果未加入
发环境下;
9 可加快动态调试,缩短开发周期。
2. 与器件结构无关 9 其核心Compiler支持Altera所有PLD器件:
• Stratix II, Cyclone II, Stratix GX, MAX II, Stratix HardCopy, Stratix, Cyclone, APEX II, APEX 20K/E/C, Excalibur, & Mercury Devices
7 System-Level Design(系统级设计) • SOPC Builder • DSP Builder
10 EDA Interface(EDA界面) • EDA Netlist Writer
11 Power Analysis(功耗分析) • PowerPlay Analyzer Tool • PowerPlay Early Power
1 Project Navigator窗口
2 Status窗口
5 工具栏 3 主工作区域
4 Messages窗口
QuartusⅡ的图形用户界面功能
1 Design Entry(设计输入) • Text Editor • Block & Symbol Editor • MegaWizard Plug-In Manager • Assignment Editor • Floorplan Editor