电子设计实验报告
电子产品设计实验实验报告

电子产品设计实验实验报告一、实验目的本次电子产品设计实验的主要目的是通过实际操作,深入了解电子产品设计的流程和方法,培养我们的创新思维、工程实践能力以及解决实际问题的能力。
同时,通过实验,熟悉电子电路的设计、原理图绘制、PCB 布线、元器件选择与焊接、电路调试等环节,掌握相关工具和软件的使用,为今后从事电子产品研发工作打下坚实的基础。
二、实验设备与材料1、实验设备数字示波器函数信号发生器直流电源万用表电烙铁热风枪2、实验材料电路板电阻、电容、电感、二极管、三极管等电子元器件集成电路芯片三、实验原理本次实验设计的电子产品是一个简易的温度控制器。
其工作原理是通过温度传感器采集环境温度,将温度信号转换为电信号,经过放大、滤波等处理后,输入到微控制器(MCU)中。
MCU 根据预设的温度阈值,控制加热或制冷设备的工作状态,从而实现对环境温度的控制。
在电路设计方面,温度传感器采用热敏电阻,其电阻值随温度的变化而变化。
通过与固定电阻组成分压电路,将温度变化转换为电压变化。
电压信号经过运算放大器进行放大,再通过低通滤波器去除噪声干扰。
放大和滤波后的信号输入到 MCU 的模拟输入引脚,MCU 对信号进行 A/D 转换和处理,通过数字输出引脚控制继电器的开关状态,从而实现对加热或制冷设备的控制。
四、实验步骤1、电路设计根据实验原理,使用电路设计软件绘制原理图。
在原理图绘制过程中,合理布局元器件,确保电路连接正确、清晰。
完成原理图绘制后,进行电气规则检查,确保没有错误和警告。
2、 PCB 布线将原理图导入PCB 设计软件,根据电路板的尺寸和元器件的封装,进行 PCB 布线。
布线时遵循布线规则,尽量减少走线长度和交叉,保证信号的完整性。
完成 PCB 布线后,进行设计规则检查,确保布线符合要求。
3、元器件选择与采购根据原理图和 PCB 设计,选择合适的电子元器件。
在选择元器件时,考虑其性能、参数、价格等因素,确保满足实验要求。
电子线路设计 实验报告

电子线路设计实验报告一、实验目的本次实验旨在通过设计和搭建电子线路,掌握电子线路搭建与调试的基本技能,加深对电子线路原理的理解,并能熟练运用相关软件进行模拟与仿真。
二、实验原理本实验选取了一个常见的电子线路——放大电路作为设计对象。
放大电路是一种将输入信号放大的电子线路,由一个或多个放大器组成,常用于音频放大、视频信号处理等领域。
设计一个放大电路的基本步骤如下:1. 确定放大电路的参数要求,包括输入信号幅值、放大倍数、最大输出幅值等。
2. 选择合适的放大器型号。
3. 根据放大电路要求,计算电路中的元件数值。
4. 利用软件进行电路模拟与仿真,查看电路的输出情况。
5. 搭建实际电子线路,进行调试。
三、实验过程本次实验以设计一个音频放大电路为例进行说明。
1. 确定放大电路参数要求假设我们的放大电路要求输入信号幅值为0.1V,放大倍数为50,最大输出幅值为5V。
2. 选择放大器型号根据放大电路参数要求,我们选择了一款标称放大倍数为100的放大器。
3. 计算电路中的元件数值根据放大器的输入阻抗和电压放大倍数公式,我们可以计算出电路中的元件数值:- 输入电阻:RI = Vin / Iin = 0.1V / 0.001A = 100Ω- 输出电阻:Ro = 1.8Ω- 输入电容:CI = 10uF- 输出电容:Co = 100uF- 反馈电阻:Rf = (Av + 1) * Ro = (50 + 1) * 1.8Ω= 90Ω4. 电路模拟与仿真利用电子线路设计软件,我们可以对电路进行模拟与仿真。
通过输入目标信号,观察电路的输出情况,优化电路设计。
5. 搭建实际电子线路根据模拟与仿真结果,我们可以在实验室搭建实际的电子线路。
按照之前计算的元件数值,选择相应型号和数值的电阻、电容进行连接。
使用万用表等工具进行电路的调试和测试。
四、实验结果经过实验,我们成功搭建了一个音频放大电路,并在实验中得到了相应的结果。
将不同幅值的音频信号输入到放大电路中,观察输出信号波形。
电子产品设计实验实验报告

电子产品设计实验实验报告实验目的:通过实际操作,掌握电子产品设计的基本原理和流程,培养解决实际问题的能力。
实验材料:1.电子元器件:电路板、电阻、电容、二极管、电感、晶体管、开关等。
2.电子工具:焊台、焊锡、电烙铁、剥线钳等。
3.线材:导线、插头等。
实验内容:1.首先,了解电子产品设计的基本原理和流程。
2.确定设计目标和需求,明确电子产品的功能和特性。
3.根据设计需求,选择合适的电子元器件进行电路设计。
4.使用焊台等工具将电子元器件焊接至电路板上,建立电路连接。
5.调试电路,测试电子产品的功能和性能。
6.对实验结果进行分析和总结。
实验步骤:1.确定电子产品的设计目标和需求。
例如,设计一个温度控制器,能够实现自动调节温度的功能。
2.根据需求,选择合适的电子元器件。
例如,选择电阻、温度传感器、电热丝等。
3.进行电路设计,确定元器件的连接方式和参数,绘制电路图。
4.准备工作,包括准备所需的电子元器件、工具、线材等。
5.使用焊台等工具进行焊接。
根据电路图,将电子元器件按照布线要求焊接至电路板上。
6.进行电路调试。
接通电源,测试电路的工作状态和性能。
7.测试电子产品的功能和性能。
例如,使用温度传感器测试温度控制器的温度检测和调节功能。
8.进行实验结果分析和总结,对实验中遇到的问题进行思考和解决。
实验结果:通过本次实验,我们成功设计并制作了一个温度控制器,能够实现温度的检测和调节功能。
通过对温度传感器的测试,我们发现温度控制器能够准确地检测到环境温度,并通过电热丝进行温度的调节,实现了温度控制的目标。
在实验过程中,我们还遇到过一些问题,例如电路连接不稳定、焊接出现错误等,但经过仔细分析和调试,我们成功解决了这些问题,最终实现了预期的设计目标。
实验总结:通过本次实验,我们通过实际操作掌握了电子产品设计的基本原理和流程。
在实验过程中,我们不仅了解了电子产品设计的需求分析、电路设计、元器件选择等基本知识,还学习到了焊接技巧和电路调试技巧。
电子设计大赛实验报告

电子设计大赛实验报告电子设计大赛实验报告引言:电子设计大赛是一个展示学生电子设计能力的舞台,通过参与大赛,学生们可以锻炼自己的实践能力和团队合作精神。
本文将介绍我们参加的电子设计大赛项目以及我们的设计思路、实验过程和结果。
一、项目介绍:我们参加的电子设计大赛项目是基于嵌入式系统的智能家居控制系统。
该系统可以通过手机App远程控制家居设备,实现智能化管理和控制。
我们选择这个项目的原因是智能家居是未来的发展趋势,我们希望通过参加大赛来提升自己在嵌入式系统设计方面的能力。
二、设计思路:我们的设计思路是基于物联网技术,将家居设备与嵌入式系统相连接,通过手机App进行远程控制。
首先,我们选用了一款性能强大的嵌入式开发板作为主控制器,并通过传感器模块采集家居设备的状态信息。
然后,我们设计了一个基于Wi-Fi的通信模块,使得开发板能够与手机App进行通信。
最后,我们开发了一个简洁易用的手机App,用户可以通过该App实现对家居设备的远程控制。
三、实验过程:在实验过程中,我们首先进行了硬件的搭建和连接。
我们将开发板与传感器模块、通信模块进行连接,并进行了必要的调试和测试。
接下来,我们开始进行软件的开发。
我们使用C语言编写了嵌入式系统的控制程序,并进行了功能测试和性能优化。
同时,我们还使用Java语言开发了手机App,并进行了界面设计和用户体验测试。
最后,我们进行了整体系统的集成测试,并对系统进行了功能和稳定性的验证。
四、实验结果:经过一段时间的努力和不断的改进,我们的智能家居控制系统取得了令人满意的成果。
我们的系统能够准确地采集家居设备的状态信息,并能够通过手机App实现对设备的远程控制。
同时,我们的系统还具备了良好的稳定性和可靠性,能够满足用户的需求。
在大赛的评比中,我们的项目获得了优秀奖,得到了评委和老师们的认可和赞扬。
结论:通过参加电子设计大赛,我们不仅锻炼了自己的实践能力和团队合作精神,还提升了自己在嵌入式系统设计方面的能力。
电子系统设计实验报告

实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。
三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。
实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。
而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。
计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。
2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。
电子产品设计实验实验报告

电子产品设计实验实验报告一、实验目的本次实验旨在通过设计和实现一个电子产品,掌握电子产品开发的基本流程和方法,并熟悉常用的电子元器件和电路设计工具。
二、实验原理1. 电子产品设计流程:电子产品设计一般包括需求分析、电路设计、PCB设计、嵌入式程序编写、测试和调试等阶段。
2. 电子元器件的选择和应用:在设计电子产品时,我们需要根据特定的功能需求选择合适的电子元器件,例如微控制器、传感器、电源管理芯片等,并根据其功能特性和规格书进行电路设计。
3. 电路设计工具的使用:常用的电路设计工具有Protel、Altium Designer、Eagle等,我们可以通过这些工具进行电路原理图和PCB布局设计。
三、实验过程本次实验以设计和实现一个温湿度监测器为例,具体步骤如下:1. 需求分析:根据实验要求和功能需求,确定设计一个能够测量环境温度和湿度的电子产品。
2. 电路设计:根据需求分析,选用适当的传感器、微控制器和显示装置等元器件。
设计温湿度传感器与微控制器之间的接口电路,包括模拟信号的采集和数字信号的处理。
3. PCB设计:利用电路设计工具进行PCB布局设计,包括元器件的布置和线路的连接。
需要注意避免线路的干扰和交叉。
4. 嵌入式程序编写:根据设计的电路和硬件资源,使用相应的开发软件进行嵌入式程序编写。
编写程序实现温湿度数据的采集和显示。
5. 测试和调试:将设计好的电子产品进行组装和调试。
通过测试验证设计的功能是否符合预期,如测量精度、显示准确性等。
四、实验结果经过设计和测试,我们成功实现了一个温湿度监测器。
该产品能够准确地测量环境的温度和湿度,并通过显示屏进行实时显示。
五、实验总结与展望通过本次实验,我们深入了解了电子产品设计的基本流程和方法,掌握了电子元器件的选择和应用技巧,熟悉了常用的电路设计工具和嵌入式程序编写方法。
在今后的学习和实践中,我们将进一步提高电子产品设计的能力,不断探索和创新,设计出更加优秀和实用的电子产品。
电子课程实验报告总结(3篇)

第1篇一、实验背景随着现代教育技术的发展,电子课程作为一种新型的教学模式,在我国得到了广泛的应用。
本实验旨在通过电子课程的学习,使学生掌握电子技术的基本原理和实践技能,提高学生的动手能力和创新意识。
本次实验课程主要包括数字电路、模拟电路、单片机应用技术等内容。
二、实验目的1. 理解电子技术的基本概念和原理;2. 掌握电子电路的组成和基本分析方法;3. 熟悉常用电子元器件的性能和选用方法;4. 提高动手能力和创新意识,培养团队协作精神。
三、实验内容1. 数字电路实验- 逻辑门电路实验:验证逻辑门电路的功能和特性;- 组合逻辑电路实验:设计简单的组合逻辑电路,如编码器、译码器、加法器等;- 时序逻辑电路实验:设计简单的时序逻辑电路,如计数器、寄存器等。
2. 模拟电路实验- 基本放大电路实验:研究放大电路的性能和特性;- 运算放大器电路实验:设计运算放大器电路,实现放大、滤波、整流等功能;- 模拟信号处理实验:研究模拟信号的处理方法,如放大、滤波、调制等。
3. 单片机应用技术实验- 单片机基本原理实验:了解单片机的结构、工作原理和编程方法;- 单片机接口技术实验:学习单片机与外围设备(如键盘、显示器、传感器等)的接口技术;- 单片机控制实验:设计简单的控制系统,如温度控制、光照控制等。
四、实验过程1. 准备阶段- 熟悉实验设备、工具和元器件;- 理解实验原理和步骤;- 制定实验方案。
2. 实施阶段- 按照实验步骤进行操作,观察实验现象;- 记录实验数据,分析实验结果;- 对实验中出现的问题进行讨论和解决。
3. 总结阶段- 分析实验数据,得出实验结论;- 总结实验过程中的经验教训;- 撰写实验报告。
五、实验结果与分析1. 数字电路实验- 通过实验验证了逻辑门电路的功能和特性;- 设计的简单组合逻辑电路能够实现预期的功能;- 时序逻辑电路设计合理,能够满足实际应用需求。
2. 模拟电路实验- 基本放大电路性能稳定,能够实现预期的放大效果;- 运算放大器电路设计合理,能够实现多种功能;- 模拟信号处理实验效果良好,达到了预期目标。
电子技术课程设计实验报告

电子技术课程设计实验报告摘要:本实验报告旨在介绍电子技术课程设计实验的过程、方法和结果。
通过课程设计实验,学生将能够深入理解电子技术的相关概念和原理,并通过实际操作实现电子电路的设计与调试。
本实验报告将分为以下几个部分进行论述:引言、实验设计、实验步骤、实验结果与分析以及实验总结。
1. 引言电子技术是现代通讯、电力等领域的基础,通过开展电子技术课程设计实验,我们可以更好地理解电子电路的工作原理,培养我们的实际操作能力和创新思维。
本次电子技术课程设计实验的目标是设计并实现一个特定功能的电子电路,通过实验过程和结果来验证和分析设计的合理性。
2. 实验设计我们选择了一个简单的电子电路设计任务:设计一个LED流水灯电路。
该电路由多个LED按照一定的顺序依次亮起和熄灭,形成流水灯效果。
为了实现这一功能,我们将使用以下组件和元件:Arduino开发板、蜂鸣器、电阻、电容、开关等。
3. 实验步骤3.1 准备工作首先,我们需要准备所需的实验材料和设备。
包括Arduino开发板、LED灯、蜂鸣器等电子元件,以及杜邦线、面包板等实验工具。
3.2 电路连接将所需的元件根据电路图连接在面包板上。
确保电路连接正确,无误。
3.3 编程使用Arduino开发板的编程软件,编写相应的代码,控制LED灯的亮灭顺序,实现流水灯效果。
3.4 调试将编写好的代码上传到Arduino开发板上,并通过调试检查电路连接是否正常,灯的亮灭效果是否符合要求。
根据需要进行适当的调整。
4. 实验结果与分析经过实验,我们成功设计并实现了一个功能完备的LED流水灯电路。
该电路可以使多个LED灯按照一定的顺序依次亮起和熄灭,形成流水灯效果。
通过实验结果的观察和分析,我们发现实验电路的亮灭顺序与我们预期的设计一致,符合设计要求。
5. 实验总结本次电子技术课程设计实验使我们对电子电路的设计与调试有了更深入的了解。
我们通过实践巩固了电子技术的相关知识和理论,并培养了解决实际问题的能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术课程设计报告目录1. 电子琴 (2)(1.1 )设计要求 (2)(1.2 )设计的作用. 目的 (2)(1.3 )设计的具体实现 (3)(1.4)心得体会、存在问题和进一步的改进意见等 (7)(1.5)附录 (8)(1.6 )参考文献 (9)(1.7 )附图 (9)2. 温度控制电路 (10)2.1 )设计要求 (10)(2.2 )设计的作用. 目的 (10)(2.3 )设计的具体实现 (10)(2.4)心得体会、存在问题和进一步的改进意见等12(2.5)附录 (12)(2.6 )参考文献 (13)3. ...................................................... 信号发生器13(3.1 )设计要求 (13)(3.2 )设计的作用. 目的 (13)(3.3 )设计的具体实现 (14)(3.4)心得体会、存在问题和进一步的改进意见等 (17)(3.5)附录 (17)(3.6 )参考文献 (17)4. ...................................................... 音频放大器18(4.1 )设计要求 (18)(4.2 )设计的作用. 目的 (18)(4.3 )设计的具体实现 (18)4.4)心得体会、存在问题和进一步的改进意见等 (21)(4.5) .......................................... 附录21(4.6 )参考文献 (21)简易电子琴设计报告一.设计要求本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。
(1).按下不同琴键即改变RC 值,能发出C 调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。
(2).选择电路方案,完成对确定方案电路的设计。
计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。
(3).连接安装调试电路。
(4).写出设计总结报告。
二. 设计的作用、目的1. 学会用仿真软件对设计的原理图进行仿真。
培养创新能力和创新思维,锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。
2. 培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事求是的科学态度和勇于探索的创新精神。
3. 通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资料、标准与规范的运用和计算机应用方面的能力得到训练和提高。
4. 掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、深化和扩展学生的理论知识与初步的专业技能。
5. 为今后从事电子技术领域的工程设计打好基础基本要求。
三.设计的具体实现1. 系统概述本课程设计采用模拟电路中的RC正弦振荡原理。
设计出的电子琴音阶频率满足国际标准,La调频率满足国际标准音C调频率440 Hz。
模拟电路中的RC 正弦波振荡电路具有一定的选频特性,乐声中的各音阶频率也是以固定的声音频率为机理的。
简易电子琴是由RC选频网络、集成运算放大器、功率放大电路组成。
其框图如图下所示:其核心是集成运算放大器构成RC正弦波振荡器,实验板上提供了8个音节电阻和电容(C串兀并=0.068卩f固定)构成RC串并联选频网络,分别取不同的电阻值(通过琴键开关接通RC串并联网络的8对电阻)使振荡器产生八个音阶信号。
最后,通过扬声器发出乐音。
2. 单元电路设计(仿真)与分析(1) 八个音阶的频率设计电子琴,就要进行八个音阶的调试。
查阅资料得知C调各音的振荡频率如下表。
表(2) 振荡电路的选择与设计接着我们选择振荡电路,由于RC振荡电路,一般用来产生1HZ~1MHZ£围内的低频信号;而LC振荡电路一般用来产生1MHZ以上的高频信号,由上表我们可以知道选择RC振荡电路。
其基本电路为RC文氏电桥振荡电路,如下图所示:原理如下:当f=f0=1/2 n RC时Uo与Ui同相,并且|F|=Ui /Uo=1/3。
而同相比例运算电路的电压放大倍数为|Au|= Ui /Uo=1+R/R1,可见。
Rf=2R1时|Au|=3,|AuF|=1 0 Uo与Ui同相,也就是电路具有正反馈。
起振时|AuF| > 1,|Au| > 3•随着振荡幅度的增大,|Au|能自动减小,直到满足|Au|=3或|AuF|=1时, 振幅达到稳定,以后可以自动稳幅。
决定用RC振荡电路后就可以根据其选频特性画出振荡部分的电路图,如下图:IN4I43E 讯…RZ : : :1k!;(3) 八个电阻的选择知道了电容值通过公式 f=fO=1 /2 n RC 结合表一,即可计算出八个音阶对应的电阻值,分别为 R6=36.3K D, R7=28.65 K Q, R8=23.23 K D, R9=20.4K D, R10=16.13K Q, R11 = 13.06K Q, R12=10.32K Q, R13=9.07 K Q,通过值选择电阻器件(就 近原则)。
设计中所用电阻的实际阻值为 R6=36.4K Q, R7=28.7 K Q, R8=23.3 K Q,R9=20.5K Q, R10=16.2K Q, R11= 13.1K Q, R12=10.3K Q, R13=9.1 K Q,(4) 稳幅方式的选择不光要使电路能够振荡,还要考虑稳幅。
稳幅的方式有好几种,比如R2用热敏电阻代替,或者利用 JFET 工作在可变电阻区,而本次试验我们选择的是采 用两个二极管进行稳幅,如上图,原理是当U1幅值很小时,两个二极管相当于开路,则R2,两个二极管的电阻为 R2的大小,Av > 3,有利于起振,而当U1 幅值较大时,两个二极管有一个导通,总的电阻变小,Av 变小,U1幅值达到稳i - Key = An R1■|:6k] IN4 ;VEE 〕〕------------ H i14?------------ H i42VVEE:胸20习^-1 ■ ■ | ------------1甦严血庐才雜y=J;陛W 征妤丿1 k 紬专—---- [ "■• [ ----------------------- --1------------ 丄 -------------- 1ROS|)J C1G2c^e X^tO&iiFv« :hU245 kJ J 耐g 匕f^CC12V(5) 功率放大电路的设计or -::C4 ■—■ 10uP^4-血::电路的接法如图,通过三极管放大电路能较有效的放到功率使喇叭能正常在 工作。
为了防止出现高频自激(输出波形上叠加有毛刺),通过大小为 10u F 的电 容C3,能较有效的解决这个问题。
3. 电路的安装与调试(1) .在设计过程中,还是出现了比较多的问题,最简单也是最经常出现的 问题是出现连线错误,通过逐步地检查更正这些错误。
实验中,我按照老师画好 的电路在插槽板上连接好之后,进行加电测试,结什么都没显示,果后来我又检 查了几遍,和所给画电路图一样,但始终实现不了,不仅浪费时间,最终也没实现。
最后经过多方排查发现原来是三极管的 b 脚与e 连接是短路。
还有在连接电 路时,应该先对电路总体进行规划好位置, 以节省导线,而且电路连接出来也好 看,尤其是在真正设计电路时,合理布局将能节省成本。
(2) .在连线过程中,检查好电路后,接入电源,在没有按下无自锁按键时, 喇叭就开始出噪音,原因是八个无自锁按键和电阻并联且没有接地。
经过修改, 便能过真确的出声音。
在条件允许的情况下,有效的检查电路故障的方法如下:a) 芯片测试R J -- ::400:^—HF10uFri h I d ■:1AD将芯片插在模拟实验包里面的芯片座上,将缺口朝左,用导线连接成反相比例电路。
如下图所示:100Ko —1 2V接好之后将输入端接地,然后用万用表打在直流电压档,测试输出端是否为 零,如果不是则调零,如果能进行调零则说明芯片是好的。
同理LM38也是如此。
b ) 振荡电路测试将芯片插在事先安装好的底座上面, 然后根据引脚的功能在底座上面用导线 连接,11脚接模拟实验箱上面的+12V, 4脚接-12V ,打开开关,按住电路板上 的开关,调节电位器,直到出现了相似的声音即可。
也可以通过将六脚连接在示 波器的输入端,观察示波器上面数值算出各自的频率和幅值,便可计算误差。
误差分析:出现的误差可能的原因是选择的电阻值没有很接近所计算的值, 从而导致产生的频率不是所给的频率; 还有一种可能就是示波器的问题, 这就是 仪器硬件问题了。
c ) 电子琴的测试再接好功放电路,进行最后的测试。
将模拟实验箱上面的+12V 接到6脚上的+VCC 上,依次按住电路板上面的开关,看是否能通过扬声器发出八种声音, 如果能则说明成功了,否则要耐心地检查电路那边接错了或少接了, 或者芯片在 测试过程中由于接的不恰当被烧坏了,这些都是需要考虑的问题。
四. 心得体会、存在问题和进一步的改进意见等本次的电子琴课程设计让我们初次接触到了模拟电子电路的课程设计,此次设计中我们通过了相关的设计计算和电路的连接调试让我们深层次的了解了我 们之前所学的基LTiRt 1 OKR P 】OOK+ 12V9础知识,不仅提高了我们自主学习的能力,更重要的是锻炼了我们动手和自主分析解决问题的能力。
设计电路的过程当中,我发现很多平时不懂的地方渐渐地懂了。
整个课设完成之后我明白了把理论运用于实际比单单学习理论知识更重要,因为在平时的学习过程当中我们只是把知识点一学,觉得懂了会做题了就一切无忧了,可是在这次设计的过程当中发现这是远远不够的。
在设计的过程当中还是有很多不会的,而且就算会但是有很多地方还需要注意到实际的应用性以及节省性。
毕竟实际当中是需要利益的最大化,所以还是要学会很多的技巧。
这次课程设技我学会了很多,也发现有很多地方要改善,我想这对于以后的学习会有很大的帮助。
对于本次实验最大的感受是实际的应用是很重要的,以前学习的东西都是些理论知识,从没有接触过具体的实物,不知道做一个东西从哪里下手,如何去规划,很是茫然。
在接触了之后,慢慢的从中寻找到了它的乐趣,当一件完整并且成功的电子琴奏出了声音时,那种感觉是很激动美妙的。
虽然实验中也出现了很多问题,首先我们注意的事项是很多的,这是课程设计中必备的要求,接线要注意不能短路和断路等等。
最后调试的时候我们也出现了些问题,线路连接的错误,以及没有把振荡电路调试好就照成了最后出现了失真的问题,经过老师的指点和建议后取得了很好的效果。
此次课程设计我们学到很多东西,不仅仅是知识上还包括与同学之间的合作都是我们所需要的。