彩灯循环控制系统的设计与实现

彩灯循环控制系统的设计与实现
彩灯循环控制系统的设计与实现

彩灯循环控制系统的设计与实现

【摘要】本文主要就是结合当下对LED灯的需求,展望了现在的LED灯被应用的情况,提出了现在LED彩灯使用中在硬件层面就固定的灯的花型,不能灵活变化使用的问题。提出了一个彩灯循环控制的方案。想要通过计算机程序语言,结合单片机控制来设计一个彩灯循环控制系统。想要通过硬件的合理选择,还有程序的合理选择来完成一个可以灵活使用的彩灯循环控制系统。本文通过设计背景的简述开始引入。然后主要就彩灯循环控制系统的硬件部分的设计使用和选择,还有系统部分的选择使用作出介绍。最后做了仿真,并根据仿真得出结果。最后就整个设计做了总结。

【关键词】单片机;LED灯;循环控制系统;C语言;

引言

我们现在的城市里,越来越多的使用大量的彩灯,或者一些新型装饰材料来美化城市。正是因为我们的国家现在开始进入到一个全新的发展时期,城市居民衣食温饱的问题已经逐渐的解决,而人们对于文化的需求,对于美的需求却在越来越高。正是因为这样这样的原因,我们的LED灯就开始大量的使用于我们的城市装饰中。特别是晚上,彩灯环绕的城市,都是靠我们大量的LED灯在发挥着巨大的作用。

1、彩灯循环控制系统的设计项目的分析

1.1循环彩灯控制系统的需求背景

我们现在的城市运行中LED灯已经在发挥着巨大的作用。比如我们的证劵交易市场的大厅里,所有的大屏幕都是用LED灯组成的大屏幕,我们的高速公路上很多的电子告示牌也是LED灯大屏幕,甚至我们现在公交车的尾部同样安装了一些小型的LED灯广告牌,我们的大型公交站,交通信号灯,街边的广告牌,城市高架桥上的提示灯,装饰灯,也都是使用我们的LED灯来组成的。其实现在个人使用的LED灯装饰也不少了,很多人的汽车,摩托车,电动车都会使用一些LED灯的装饰,所以LED灯的需求还在越来越大。我们的生活已经离不开这样的一种产品了,它用它的诸多的优势来给我们的生活提供越来越多的便利。正是因为我们的LED灯有很多的优势,比如LED的使用就非常的符合现在越来越提倡的绿色环保理念。这样的的产品又因为造价很低,使用的成本也很低就让我们越来越多的场合都可以使用它。而且现在的LED灯的使用寿命很长,完全符合我们的提倡的可持续发展的理念。但是现在多出情况下的LED装饰灯,都直接是根据不同的需求,不同的环境,直接就把灯的发光方式固定在了硬件的层面,没有办法灵活的根据不同的场合而变化出不同的效果,很多的类似产品没有使用到如今的计算机程序控制。所以我们就希望更多的LED产品能够越来越多的使用到计算机编程,而实现这些彩灯的灵活变化,也就能更能够体现出它更多的优势。能够给我们带来更多的便利。

1.2循环彩灯控制系统的功能分析和设计方案简述

1.2.1循环彩灯控制系统的设计想法

本设计的想法,就是想通过单片机,让LED彩灯实现一些灵活的变化。主要的就是想要通过Keil环境下,用计算机C语言编程实现彩灯的循环控制。在基于STC89C52单片机的基础之上,使用C语言进行编程,控制彩灯实现一些不同的图案,还有不同的时间有不同的变化。希望通过简化电路,提高彩灯的使用效率,也希望让先进的计算机技术能够融合到现在的彩灯控制中。真正的实现一种彩灯

的自动控制和彩灯图案功能的丰富。

1.2.2循环彩灯控制系统的设计思路

结合两个框图来分析设计的思路。主要就是想要通过一个简单的控制思想来完成

循环彩灯控制系统的实现。就是通过C语言可以灵活的控制的单片机。主要就是通过外部的键盘控制电路作为人的输入设备来控制单片机所要执行的程序,然后通过单片机来控制彩灯的表现的图案样式,图案形式。然后又通过一个时钟电路,来做一个循环的时间控制,每次图案的变化都会固定的时间发生,然后每次出出一个不同的图案,然后在几种图案之间往复。通过复位电路来实现这个反复的过程,就可以不断地循环重复整个彩灯的变换过程,只有这样整个系统才能真的实现自动控制,自动循环,自动的重复。又因为可以通过人为干预,来改变单片机的控制程序,这样就可以让单片机实现对彩灯图案的灵活控制,可以节约人力物力的情况下来控制彩灯都够在不同的场合都能够发挥作用,这样也就可以极大的丰富LED彩灯的使用方式,可以让LED彩灯有更多的用处。这就是用自动化的程序控制来解决彩灯循环自动化问题的主要思路。

1.2.3彩灯循环控制系统的系统环境选择

主要使用C语言编程,来设计整个彩灯循环控制系统的系统设计部分。整个设计的环境采用Keil C51环境,这个系统是一个德国的公司出产的。为什么要采用Keil C51就是因为它能够很好地来兼容单片机。还有就是使用这样Keil C51的C语言编程比起汇编语言来说,有很多的好处,就是可以在使用的过程中体现更多的便利,这样的程序有更好的结构性和功能性,在使用的过程中也非常的易于维护管理。这样的平台可以便于我们来编制彩灯循环控制体统,因为Keil C51不仅是工程师才能使用,也是一个连初学者都可以使用的系统平台,这样就给我们的设计带来很多的便利。能够保证我们基于单片机的彩灯循环控制系统更加的简单一些,更加的便于使用一些。

2、硬件部分的设计

2.1硬件设计部分的概述

首先就是单片机的使用,单片机就是一种简单的计算机。因为现在复杂的计算机都使用复合板,那样就让那些计算机能够有更多的功能。但是单片机不一样,因为单片机在很多不需要复杂计算机的场合能够展现更多的优势。就是因为单片机的体积比较小,处理的能力适中,在很小的一块主板上,集成了处理器,内外存储器,同时还会提供大量的接口,可以提供外部设备的接入,也有输出控制的功能,这样就让单片机有了使用的便利性。特别是单片机的造价不高,使用的成本也就比较低。虽然不能实现大规模的快速复杂运算,但是能够实现一些基本的运算控制,也已经在很多的方面展现了巨大的使用前景。

还有硬件设计部分包括LED灯的使用。简单的说LED灯也就是发光二极管,这种小灯就是需要正确的联接的,因为它是单向导电的,不是双向导电,所以就让我们的联接工作需要注意。还有就是LED小灯,功耗很低,需要的电压也比较的低。再者就是需要一些电阻来做保护等等。

2.2所选取的单片机的使用

2.2.1所使用的单片机的简述

本设计主要使用的是STC89C52单片机,有芯片插座在很大的程度上方便了芯片的安装,拿取。而且芯片可以使用很长时间,反反复复的编写,反复的擦除都可以支持上万次,这样就让彩灯编程使用更加灵活,更加的低成本。每次需要更换彩灯的控制程序,灯的形状的时候只需要进行芯片内容的擦除就可以了。这样就很大程度上方便使用。单片机也有相应的按键,就可以方便使用。单片机的工作电压就是5伏特,上下0.5伏特都可以,这样给单片机供电的电路就有很多的选

择,特别是可以使用手机的充电器,电脑的usb方式,或者使用电池都可以。

2.2.2单片机的针脚部分

以上是单片机的引脚图。所使用的单片机一共是32个引脚可以供用户来使用,但是本文中的设计我们只使用了16个引脚,因为我们的设计主要只是针对一个16路的小彩灯进行的。我们就是想要通过这样的设计来完成几个彩灯自动循环控制系统的设计就可以了。只要是我们的设计比较的完善就可以了。

首先就是用户可以使用的引脚部分主要就是P0.0~P0.7、P1.0~P1.7、P2.0~P2.7、P3.0~P3.7着四个部分给用户作为使用的引脚。这几个就是用户需要编程的时候要用到的引脚。

这四个部分一共就是32个。然后就是还需要介绍系统引脚,这个是用户没有办法使用的引脚部分,就是VCC引脚,主要是用于供电的。GND引脚是单片机的接地引脚。如果遇到死机的问题就需要到RST引脚来复位。XTAL1和XTAL2主要是振荡电路的几个系统引脚比较复杂也就不多做介绍了。最后就是EA、ALE、PSEN 这三个引脚,主要就是用来和外部的设备连接,主要就是外部的大容量储存器的

连接。因为这个单片机的储存器只有4kb是很小的,所有有时候还是需要一些外部的存储设备的。

2.3电路部分的设计

对于这样的一个系统而言整个系统的电路设计也是很重要的,只有很好的设计了电路部分,才能够保证电路,系统能够正常的运行。电路的设计部分也是整个系统的基础。也就是想要一辆小汽车上路行驶就必须要有油,也要有相应的发动机,才可以开动。所以电路设计部分也是很关键的。

2.3.1主要控制电路的设计

这个最小电路的设计主要是要考虑我们的时钟电路,还要考虑这个复位电路的设计。

首先就是这个时钟电路的设计。其实时钟电路主要也叫做振荡电路,其实都是一个意思。其实就是通过一个时间的往复来不停的变动,实现一种时间的往复控制我们通过图片最顶端的两个引脚接口,接入两个谐振的设备,主要就是两个谐振的电容器,这样就可以制造出一个时钟电路,来控制循环彩灯控制系统的设计。内部时钟电路的晶体振荡频率一般在4~12MHZ之间,这里我们选择12MHZ。我们主要是采用22pF的电容器来实现我们时钟电路控制设计。

然后就是设计复位电路。什么是复位,顾名思义就是要初始化。也就是循环彩灯控制系统中,需要不停的通过初始化,来改变小灯的花型,这样就可以完成自动循环控制。通过一些相应的瞬间加电压的原理,让我们的单片机的一些设置可以自动的复原,自动的初始化,这样就可以成功的实现我们的自动控制的需求。2.3.2循环彩灯控制系统总电路设计

总体的电路图也就是在上一节的最小主要控制电路的基础上加入了完整的控制电路。也就是出了单片机的主要设备之外完成了整个彩灯循环控制系统的设计。也就是在单片机的引脚上接入了整个彩灯电路,这样我们的设计也就完整了。所有的电路能够完成工作就能够实现彩灯循环控制系统的主要步骤。这样我们就能够完成接下来的安装工作,也才能够让设计好的系统成功的运行起来。这样就能够让设计真正实现。

2.4主要的设备组装调试

2.4.1主要的材料的选择

我们的主要硬件设计部分完成之后就需要进行安装工作。那么安装过程中需要的东西就需要我们注意了。根据我们的设计,一定要制定相应的流程,所有的组装工作必须要一步一步的完成,所有的材料准备需要进行分类,分开准备,分开来存放,拿取使用也需要注意整洁。

材料主要就是需要电烙铁,这个最主要的工具,因为电路的焊接,所有线路的焊接都需要电烙铁来完成。因为使用的电路是比较小的电路,所以使用30瓦左右的电烙铁就可以满足要求了。然后要准备好焊锡,因为在焊接电路的过程中焊锡的使用是比较多了,所以一定要准备足够,然后松香一类的助焊剂也要准备足够。同时需要一些尖嘴钳,镊子作为辅助工具,来进行安装。在安装的过程中一定要注意安全,不能够打闹,也不能够分心,因为电烙铁使用的时候是比较危险的,所以必须要采取相应的安全措施。使用电烙铁焊接完毕后,必须要收拾好,冷却完毕后才能够用手触摸。

2.4.1设备安装完成后的调试

首先就是要在不通电的情况下进行检查,要按照设计的电路图严格检查每一个引脚的接线有没有正确,还有就是所有的电路排布有没有按照彩灯循环控制系统的设计要求来进行了排线。一定要严格的进行检查,不能有任何的疏漏,因为一旦

做错了什么就会损坏我们的设备。

然后就是要通电进行测试,链接上仿真器,进行测试,看看有没有按照设计的要求运行,如果出现问题必须要返回重新检查所有的施工步骤有没有正确。

3、软件部分的设计

3.1彩灯循环控制系统的软件部分设计简述

我们所做的这个循环彩灯控制系统的设计主要就是要用C语言的程序来实现彩灯花型的控制,还有花型的更替,变化。更重要的就是需要程序设计,通过计算机语言来控制彩灯的主要控制部分——单片机。最后是实现一种灵活的程序控制设计,完成我们要求的的程序设计。

3.2整个软件部分的C语言程序

4、仿真测试结果分析

通过这个时序控制的电路仿真图,我们可以得出结论就是我们的设备运行正常,可以正常的工作。

然后又通过这个显示电路的仿真情况,也同样是反应我们的整个彩灯循环控制系统在按照我们的要求正常的运行。没有什么问题,初步达到了我们的设计初衷。

最后通过整个彩灯循环控制系统的总电路仿真模拟测试,我们同样验证了我们的设计时合理的,可靠地。确实能够根据我们设计要求正常的运行。总的就可以验证我们彩灯循环控制系统是能够达到我们的设计初衷的。

整个仿真模拟测试就可以说明我们以C语言编程,作用于单片机控制的,彩灯循环控制系统是能够实现的。也确实能够很好运行。也就让我们整个设计能够很好的完成工作。当然其中还是有很多需要改进的地方。也有一些可以优化的地方。能够正常的使用运行不应该是终点,还有很多的工作可以去做。

总结

经过这个循环彩灯控制系统的设计,特别是从一开始写这个项目的开题报告开始,就有很多的问题在考验我们。从设备的选择,从我们材料的准备,还有对程序语言资料的查询,也是锻炼了自己的自主学习的能力。这段时间以来,整个彩灯循环控制设计的都是我们生活的主要内容。这也是在让我们知道,生活里太多的事物,都是通过太多的人不断地努力才弄出来的。小小的一个东西,其中的奥秘真的很多,就像这个彩灯,我们每天都会接触,只有自己真正的深入其中,才发现,有太多的学问需要哦我们了解学习。

通过这样的一次设计工作,也就让我们以后的生活工作中,能够认识到刻苦钻研认真学习,虚心学习的重要性。也只有通过每一个的不断努力,最后让大家组成一个整体,来提高工作的效率。

参考文献

[1]康华光.《电子技术基础(模拟部分)》 .高等教育出版社 .

[2]阎石.《数字电子技术基础(第五版)》.高等教育出版社.

[3]谢自美.《电子线路设计·实验·测试》 .华中科技大学.

[5]何立民 .《MCS-51单片机应用系统设计系统配置与接口技术》. 北京航

空航天大学出版社

[6] 谭会生,张昌凡. EDA技术及应用[M].西安:西安电子科技大学出版社,2011.

[7] 谭会生. EDA技术综合应用实例与分析[M]. 西安:西安电子科技大学出版社,2011.

[8] 邵春波,张涛. 单片机虚拟实验平台的设计与实现[J]. 台州学院学报. 2010(06)

[2] 徐亮,周芸. Keil+Proteus在单片机实验实训中的应用[J]. 广西职业技术学院学报. 2010(02)

[3] 张欣. 浅谈Proteus在单片机教学中的应用[J]. 科技信息. 2011(31)

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

彩灯循环控制系统

电工电子技术课程 设计 题目:彩灯循环控制电路的 设计与制作 团队成员:李静黄林姜怀亮况雨朦刘京京 组长:李静 班级机电技术教育 专业:121班 指导教师:国海 2014年6月

摘要 基于数字技术的循环流水彩灯系统结合了传统的数字电路基础技术和模块化设计的思路。其简易轻巧,外貌美观,能呈现多彩颜色的特点使它在现实生活中得到了广泛的应用。比如十字路口红绿黄的流水交通灯;节假日装扮用的流水彩灯;自动门上装有的自动流水灯等等。所以流水彩灯的设计可以进一步增加我们对流水灯的了解,感受流水灯给我们生活带来的方便。 小组对彩灯循环控制系统的初步框架进行设计,并对一些仿真软件和硬件系统方案进行了选择。在此基础上,又进一步详细介绍了该控制系统的硬件组成、软件仿真结果、控制方法。在软件仿真部分中,本文重点讲述了使用Multisim对数字电路进行仿真及仿真结果。在硬件设计中,将电路设计从主电路为二进制计数器延伸到用移位寄存器来控制。 最后,本文就基于彩灯循环控制系统的特点,进行了归纳与总结。 关键词:流水彩灯循环 Multisim仿真移位寄存器 彩灯循环控制电路的设计与制作 1 结构设计与方案选择 1.1 基于数字电路技术的彩灯循环控制系统结构 现代的数字电路的设计大体上都是三步走的战略:即信号源,控制电路,输出显示。在明白大体的设计思路之后,对没有部分进行模块化的设计。最终可以完成我们的实验目标。本实验的具体设计框图如下: 提供适当的输入源;彩灯循环控制系统主要是实现我们实验目标中的左循环、右循环、全灭和全亮的功能;显示电路主要是输出显示我们的实验结果。 1.2方案选择

1.2.1方案一:74ls193为主控电路 74ls193是双时钟4位二进制可逆的集成计数器,其双时钟可以实现加计数或 减计数,当计数时钟脉冲从UP 输入时,集成芯片实现加法计数过程,计数过程为0000到1111→0000;当计数时钟脉冲从DOWN 输入时,集成芯片实现减法计数过程。CLR 是异步清零端,高电平有效;~LOAD 是异步欲置数控制端,低电平有效;预置数数据输入端包括A 、B 、C 、D,D 为最高位,A 为最低位; 本电路的系统方案框图为: 图2 74ls193为主控流水彩灯电路框图 简要介绍:利用555计时器所组成的多谐振荡器产生频率为1Hz 的脉冲信号,并将信号送到74ls193的时钟,将清零端CLR 与QD 相连,在进行加计数时,数据到了1000即8时,系统清零而回到0000,即0。在进行减计数时,四输入与非门的输入与QA 、QB 、QC 、QD 相连,输出与置位端~LOAD 相连,DCBA 接0111,即出现输出为1111时,电路回到0111即7,译码器将74ls193的输出地址转换为高低电平并使LED 发光。 仿真电路图如下图所示:

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

循环彩灯系统设计讲解

课程设计报告 题目:循环彩灯系统设计 课程名称:电子技术课程设计学生姓名: 学生学号: 年级:15级 专业:电子信息工程 班级:1班 指导教师: 电子工程学院制 2017年3月

目录 1设计的任务与要求 (1) 1.1 课程设计的任务 (1) 1.2 课程设计的要求 (1) 2 循环彩灯设计方案制定 (1) 2.1 循环彩灯设计的技术方案 (1) 2.2 循环彩灯系统设计的原理 (1) 3 循环彩灯设计方案实施 (2) 3.1 循环彩灯单元模块功能及电路设计 (2) 3.2 循环彩灯电路参数计算及元器件选择 (8) 3.3 循环彩灯系统整体电路图 (9) 4 循环彩灯系统设计的仿真实现 (9) 4.1 仿真软件介绍 (9) 4.2循环设计仿真实现 (10) 5 总结及心得体会 (10) 6参考文献 (11)

循环彩灯系统设计 电子工程学院 电子信息工程专业 1设计的任务与要求 1.1 课程设计的任务 利用Multisim 仿真软件和电子元器件,设计并制作一个循环彩灯系统。 1.2 课程设计的要求 由八只LED 灯组成的彩灯系统,要以一定的花型循环,循环间隔可自行定义。 2 循环彩灯设计方案制定 2.1 循环彩灯设计的技术方案 图1原理框图 2.2 循环彩灯系统设计的原理 555定时器组成多谐振荡电路提供震荡脉冲,利用计数器产生的脉冲控制译码 器,利用多块译码器可实现LED 灯的多种方式循环显示,增添效果显示。 R1?R2给电容C1充电,使逐渐升高, 当时,3脚(Q 端)输出为高电平。当上升到 超过时,3脚输出仍为高电平。当继续上升到略超过时,RS 触发器状态发生翻转,3 脚输出为低电平,同时C1经 R2及7脚内导通的放电管VT 到地放电,迅速下降。 当下降到略低于时,触发器状态又翻转,3脚输出变为高电平。同时,7脚内导通的 放电管VT 截止,电容 C1再次进行充电,其电位再次上升,一直循环下去。根据, 可以看出,通过改变电位器R2的电阻值的大小,即可以改变振荡器的振荡周期,从 而改变3脚输出高低电平的转换时间,进而改变流水灯的速度。

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

彩灯循环控制系统的设计与实现

彩灯循环控制系统的设计与实现 【摘要】本文主要就是结合当下对LED灯的需求,展望了现在的LED灯被应用的情况,提出了现在LED彩灯使用中在硬件层面就固定的灯的花型,不能灵活变化使用的问题。提出了一个彩灯循环控制的方案。想要通过计算机程序语言,结合单片机控制来设计一个彩灯循环控制系统。想要通过硬件的合理选择,还有程序的合理选择来完成一个可以灵活使用的彩灯循环控制系统。本文通过设计背景的简述开始引入。然后主要就彩灯循环控制系统的硬件部分的设计使用和选择,还有系统部分的选择使用作出介绍。最后做了仿真,并根据仿真得出结果。最后就整个设计做了总结。 【关键词】单片机;LED灯;循环控制系统;C语言; 引言 我们现在的城市里,越来越多的使用大量的彩灯,或者一些新型装饰材料来美化城市。正是因为我们的国家现在开始进入到一个全新的发展时期,城市居民衣食温饱的问题已经逐渐的解决,而人们对于文化的需求,对于美的需求却在越来越高。正是因为这样这样的原因,我们的LED灯就开始大量的使用于我们的城市装饰中。特别是晚上,彩灯环绕的城市,都是靠我们大量的LED灯在发挥着巨大的作用。 1、彩灯循环控制系统的设计项目的分析 1.1循环彩灯控制系统的需求背景 我们现在的城市运行中LED灯已经在发挥着巨大的作用。比如我们的证劵交易市场的大厅里,所有的大屏幕都是用LED灯组成的大屏幕,我们的高速公路上很多的电子告示牌也是LED灯大屏幕,甚至我们现在公交车的尾部同样安装了一些小型的LED灯广告牌,我们的大型公交站,交通信号灯,街边的广告牌,城市高架桥上的提示灯,装饰灯,也都是使用我们的LED灯来组成的。其实现在个人使用的LED灯装饰也不少了,很多人的汽车,摩托车,电动车都会使用一些LED灯的装饰,所以LED灯的需求还在越来越大。我们的生活已经离不开这样的一种产品了,它用它的诸多的优势来给我们的生活提供越来越多的便利。正是因为我们的LED灯有很多的优势,比如LED的使用就非常的符合现在越来越提倡的绿色环保理念。这样的的产品又因为造价很低,使用的成本也很低就让我们越来越多的场合都可以使用它。而且现在的LED灯的使用寿命很长,完全符合我们的提倡的可持续发展的理念。但是现在多出情况下的LED装饰灯,都直接是根据不同的需求,不同的环境,直接就把灯的发光方式固定在了硬件的层面,没有办法灵活的根据不同的场合而变化出不同的效果,很多的类似产品没有使用到如今的计算机程序控制。所以我们就希望更多的LED产品能够越来越多的使用到计算机编程,而实现这些彩灯的灵活变化,也就能更能够体现出它更多的优势。能够给我们带来更多的便利。 1.2循环彩灯控制系统的功能分析和设计方案简述 1.2.1循环彩灯控制系统的设计想法 本设计的想法,就是想通过单片机,让LED彩灯实现一些灵活的变化。主要的就是想要通过Keil环境下,用计算机C语言编程实现彩灯的循环控制。在基于STC89C52单片机的基础之上,使用C语言进行编程,控制彩灯实现一些不同的图案,还有不同的时间有不同的变化。希望通过简化电路,提高彩灯的使用效率,也希望让先进的计算机技术能够融合到现在的彩灯控制中。真正的实现一种彩灯

彩灯循环控制器设计

电子技术课程设计说明书题目:彩灯循环控制器(B) 学生姓名:曹文天 学号:200806010211 院(系):电信学院 专业:电气082 指导教师:张震强 2010 年 12 月 10 日

这次课程设计的题目是彩灯循环控制器。题目的要求是:(1)采用一个半导体数码管作为控制器的显示器,能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、1、2、3、4、5、6、7(音乐符号数列)和0、2、4、6、8(偶数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 彩灯控制器主要是通过计数器来实现的。这个彩灯控制电路的实质是要产生一系列的数列,包括自然数列、奇数列、偶数列、音乐数列,然后通过一个七段数码管显示出来。这些数列的生成均是通过不断给一个74HC160脉冲,使其从0到9计数并不断循环。再用另一片74HC160作为循环控制,把它设置成四循环计数器,不断输出00到11。当其输出00时为自然数列输出,01时为奇数列输出,10 时为偶数列输出,11 时为音乐数列输出。0到9的数列产生后在不同状态下通过两片74HC153置成所需数列通过CD4511译码连接数码管输出。另外,按照上述方法产生的奇、偶数列相邻两个数显示的时间是自然数列及音乐数列的二倍。则要用JK触发器加74HC153对自然数列及音乐数列的脉冲进行二分频,使得四种数列相邻两个数显示的时间相同。最后脉冲的产生是通过555电路组成多谐振荡器来产生的。我设置脉冲频率为2HZ,这样经分频后在数码管上显示的数字为一秒变一下。最后要把它们有效的结合起来联合工作,就可以实现目的功能。

数字电子四色花样彩灯控制器课程设计

课程设计任务书
学年第 学期
学 专
院 : 业: 学
信息工程 通信工程 号 :
学 生 姓 名: 课程设计题目:
多功能彩灯控制器设计
起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任 :
2013 年 12 月 25 日-2014 年 01 月 8 日 信息工程学院
邬春明
下达任务书日期 :2013 年 12 月 15 日

课 程 设 计 任 务 书
1.设计目的:
加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路 设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论 文)奠定良好的基础。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :
设计一个四花样自动切换的彩灯控制器。八个一组的彩灯按多种不同的方式显示, 组成不同的视觉效果。要求实现: (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从 1~8 从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :
1、课程设计说明书(论文) 2、电路的计算机仿真(EWB 等,仿真时可用仿真工具中的信号源) 3、答辩
4.主要参考文献:
[1] [2] [3] [4] [5] 周常森. 电子电路计算机仿真技术.山东科技出版社,2006.02
5.设计成果形式及要求:

1_彩灯循环控制器

4.2 应用电路1 彩灯循环控制器的设计与仿真分析 变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢? 这就是我们下面要讨论的课题——彩灯循环控制电路。 在实际工作中,能够实现彩灯循环控制要求的电路形式或方案很多,现给出几种与数字电路内容结合紧密且容易实现的电路方案,以期使读者开阔思路,学习数字电路的设计与制作方法。 1电路设计分析 (1)彩灯循环控制技术指标 ①彩灯能够自动循环点亮。 ②彩灯循环显示且频率快慢可调。 ③该控制电路具有8路以上输出。 (2)方案论证与实现 彩灯循环控制电路主要由3部分组成,其整体框图如图4—5所示。 ①振荡电路。 主要用来产生时间基准信号(脉冲信号)。因为循环彩 灯对频率的要求不高,只需要能产生高低电平,且脉冲 信号的频率可调,所以采用555定时器组成的振荡器, 其输出的脉冲作为下一级的时钟信号。电路如图4-6所不,接上示波器是为了调试振荡器输出信号波形,调试好后就可以去掉示波器,振荡器电路作为一个模块就可以和后续电路相连接了。图4—7为调试好的振荡器输出波形。 ②计数器/译码分配器。 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器CD4017,它是一种用途非常广泛的集成电路芯片。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是00、01、02、…、09依次出现与时钟同步的高电平,宽度等于时钟周期。 CD40 1 7有3个输入端(MR、CP0和~CP 1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1~O9)均为低电平。CP0和~CP l是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP l端输入。设置2个时钟输入端,级联时比较方便,可驱动更多的二极管发光。 CD401 7有10个输出端(O0~O9)和1个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

单片机控制的循环彩灯控制系统的设计实习报告

一、实习目的《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。二、实习内容利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。三、设计过程:1、能输出4种花型,并能由人工进行花型的切换。(按键) *利用单片机8031的P1.7做总开关,P1.0、P1.1做花型控制开关,P1.5、P1.6做调速开关。实习花型:(1)使彩灯从右到左逐一循环点亮。(2)使彩灯交替闪烁。(3)使彩灯从右到左逐一点亮,当全部点亮后同时闪烁一次。(4)使彩灯从中间到两边顺序点亮,直至全部点亮;再将彩灯从两边到中间熄灭,直至全部熄灭。 2、循环彩灯的循环速度可调。 *P1.5做加速控制开关,P1.6做减速控制开关。3、按键要求:(1)启动/

暂停。按动一次启动,再按一次暂停。是一个双态 转换键*“1”---启动,“0”---停止。(2)花型变化。 按一次,立即停止当前花型的显示,转换为下一种 花型。4种花型可以循环切换。表1.1 拨动开关 与对应的花型 P1.0 P1.1 花型 0 0 花型1 1 0 花型 2 0 1 花型3 1 1 花型4 (3)速度+。按键一 次,速度略加快一点。速度-。按键一次,速 度略减慢一点。表1.2 拨动开关功能表P1.5 P1.6 P1.7 功能功能功能 0 0 0 无加速无减速 停止 1 1 1 加速减速启动 4在LED显示器上显 示相应的花型号。5、显像管显示相应数据 花型显像管 A 花型1 B 花型2 C 花型3 D 花型4 6、利用软件子程序或单片机 内部的定时/计数器实现定时功能,以模拟循 环速度的基准值。四、实习器材TDN86/51 二合一微机实验教学系统1台,配套计算机 一台,连接导线若干五、实验原理图: 如上图所示,8031的P0口接8155的AD0-7口,P2.7和P2.6 分别接了8155的片选线和I0口,而8031的P1口做开关接口, 8155的PA和PC接显像管的字位和字形,PB接LED。六、程

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期:

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

单片机控制的循环彩灯控制系统的设计

单片机控制的循环彩灯控制系统的设计 一、实习目的 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二、实习内容 利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。 三、实习器材 TDN86/51二合一微机实验教学系统1台,配套计算机一台,连接导线若干。 四、系统仿真图

五、软件流程图

选择花型 六、程序设计 1、程序是按照模块化设计的,一共分为四大模块 1)基本程序模块 延时程序、设置按键处理程序、主程序初始化及初值设定 2)LED控制程序 3)取消按键抖动程序 4)控制程序 2、设计要求 能输出4种花型,并能由人工进行花型的切换。可以使用按键,也可以使用波动1)开关来实现切换,方法不限。 参考花型: (1)使彩灯从右到左逐一循环点亮。 (2)使彩灯交替闪烁。

(3)使彩灯从左到右逐一点亮,当全部点亮后同时闪烁一次。 (4)使彩灯从两边到中间顺序点亮,直至全部点亮;再将彩灯从中间到两边熄灭,直至全部熄灭。 2)循环彩灯的循环速度可调。 按键要求: (1)启动/暂停。按动一次启动,再按一次暂停。是一个双态转换键。 (2)花型变化。按一次,立即停止当前花型的显示,转换为下一种花型。4种花型可以循环切换。 (3)速度+。按键一次,速度略加快一点。 (4)速度-。按键一次,速度略减慢一点。 3)在LED显示器上显示相应的花型号。 3、系统总体设计 充分利用TDN86/51二合一微机实验教学系统的硬件资源来实现循环灯的功能,因此: (1)利用8051的P1端口接发光二极管,以模拟彩灯控制。 (2)利用8051的P3端口接拨动开关;利用拨动开关提供逻辑0和逻辑1,模拟按键操作,以实现4种花型之间的切换、加快循环速度、减慢循环速度以及暂停循环灯循环等操作,具体定义如表1.1和表1.2所示。 表1.1 拨动开关与对应的花型 表1.2 拨动开关功能表 (3)8051通过8155对LED显示器控制显示相应的花型编号。

彩灯循环控制电路

课程设计(论文) 题目名称彩灯控制器 课程名称电子技术课程设计 学生姓名刘标 学号0941201062 系、专业电气工程系09电力一班 指导教师陈源 2011年12月9日

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

指导教师(签字):学生(签字):刘标

邵阳学院课程设计(论文)评阅表 学生姓名刘标学号0941201062 系电气工程系专业班级09级电力一班 题目名称彩灯控制器课程名称电子技术课程设计 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。

目录 摘要 (1) 1.选题背景 (2) 1.1 设计要求 (2) 1.2 指导思想 (2) 2.方案论证 (3) 2.1 方案说明 (3) 2.2 方案原理 (3) 3.电路的设计与分析 (4) 3.1 电路的总体设计 (4) 3.2 电路的原理框图 (4) 3.3 单元电路的设计与分析 (5) 3.3.1 多谐震荡电路的设计与分析 (5) 3.3.2 计数器电路的设计与分析 (6) 3.3.3 组合逻辑电路的设计与分析 (7) 3.3.4 重要的元件的分析 (7) 4.电路的安装与调试 (10) 5.设计的总结与体会 (11) 附录:元器件清单 (12) 参考文献 (13)

摘要 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。 数字电子技术课程设计也是培养学生综合运用所学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。 关键字:彩灯控制器、循环控制、电子电路仿真

循环彩灯控制器课程设计报告

循环彩灯控制器课程设 计报告 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

摘要 这次课程设计通过对彩灯的设计,训练对数字电子技术内容的应用能力,掌握对电子产品设计的流程以及各种要求。彩灯技术已广泛得在霓虹灯、广告彩灯、汽车车灯等领域中应用。 动态霓虹灯应该聚而不散、不能为了追求“跳跃”而给人凌乱的感觉,不管采用何种色彩,何种图案,都应该有顺序地渐变和跳跃,给受众一种秩序感,主次感、并便于受众顺着有规律的节奏接着看第二次、第三次。在设计制作时,既要给人以变幻的吸引力,又要主次分明,没有色彩和图案紊乱的感觉。变换、闪烁、跳跃式的霓虹灯为营造欢乐、多姿多彩的生活正越来越受到人们的重视。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。再设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的稳定性,降低成本。因此用集成电路来实现个更多更复杂的器件功能则成为必然。 这次的课程设计用NE555,74LS194来实现彩灯循环的控制。 关键词 NE555 74LS194

目录

1 绪论 项目研究的背景与意义 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。再设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的稳定性,降低成本。因此用集成电路来实现个更多更复杂的器件功能则成为必然。 19世纪兴起的数字电路以其先天的便捷、稳定的优点在现代电子技术电路中占有越来越重要的地位。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。为了追求“跳跃”而给人凌乱的感觉,不管采用何种色彩,何种图案,都应该有顺序地渐变和跳跃,给受众一种秩序感,主次感、并便于受众顺着有规律的节奏接着看第二次、第三次。在设计制作时,既要给人以变幻的吸引力,又要主次分明,没有色彩和图案紊乱的感觉。变换、闪烁、跳跃式的霓虹灯为促进销售,为营造欢乐、多姿多彩的生活正越来越受到人们的重视与欢迎。 彩灯控制系统研究的意义 利用控制电路可使彩灯按一定的规律不变的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。近年来,随着人们生活水平的较大提高,不光是对各种各样的生活电器的需要,也开始在环境的优雅方面有了更高的要求。比如日光灯已不能满足于我们的需要,彩灯的运用已经遍布人们的生活,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀,这些不仅说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发展,在这一设计中我们将涉及有关彩灯控制器的设计,从原理上,是我们对这一设计有所了解,将其确实的与我们联系起来。 根据市场需求的调查显示,许多商业广告、公益广告、娱乐场所等,大多采用循环彩灯控制器的形式。它们通过巧妙构思与创作,做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围,总体来说彩灯控制电路运用极其广泛 国内外研究发现展 查阅相关资料可知,国内外现今彩灯循环控制主要由以下方法: 采用单片机或DSP控制,单片机与DSP在通信、信号处理、自动化等诸多领域有着极其广泛的应用。对单片机或DSP芯片编写程序来实现对彩灯的控制,具有控制方便灵活,显示多种多样,外围电路少等优点。

相关文档
最新文档