信号发生器的设计

合集下载

信号发生器的设计仿真与PCB设计制作

信号发生器的设计仿真与PCB设计制作

信号发生器的设计仿真与PCB设计制作班级姓名学号(一)信号发生器由以下几部分组成:1、±12v稳压电源电路2、方波产生电路3、三角波产生电路4、正弦波产生电路5、总的信号输出电路(二)设计任务和要求设计任务1、用1N4007、LM7812、LM7912设计出±12v稳压电源电路2、用集成运算放大器设计出能产生方波、三角波、正弦波且频率和幅度可调的信号发生器。

3、设计电路原理图、电路印刷版图。

(三)要求1、在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函数信号发生器。

2、信号频率:1kHz~10kHz3、输出电压:方波:Vp-p≤24V三角波:Vp-p≤6V正弦波:Vp-p>1V4、方波:上升和下降时间:≤10ms5、三角波失真度:≤2%6、正弦波失真度:≤5%(四)可选用器材1、1N4007、LM7812、LM79122、OP07、uM741、LM324、LM3583、电阻、电位器、电容等元器件若干。

4、开关、接插件、接线端子。

(五)函数发生器电路组成及工作原理函数信号发生器由波形产生电路、电源电路组成,如图1和图2所示。

波形产生电路可产生正弦波,方波和三角波,正弦波是由RC文氏电桥振荡器产生,振荡频率由双刀五位波段开关S1、S2(只用两位)接入不同倍频的电容进行粗调,频率细调用同轴双联电位器W1、W2调节。

D1、D2组成温服电路,W3可进行振荡幅度的调节和失真调整。

为保证能够起振,且减小波形失真,应使R4的阻值略大于R3的阻值的2倍。

方波使由正弦波经过零比较器产生。

方波的频率与正弦波相同,由公式f=1/2∏(W1+R1)C1决定,通过计算可知这个电路产生信号频率范围是150hz-50khz。

信号频率还可进行扩展,但随频率升高,正弦波幅度有所下降,方波发生失真。

三角波是有通用的方波-三角波电路产生,并未采用将方波通过积分的方法产生,因为产生的三角波失真较大。

信号发生器的设计(综述。修改后)-PPT文档资料

信号发生器的设计(综述。修改后)-PPT文档资料
信号发生器的设计
一 信号发生器的作用 测量仪器从宏观上可分为两大类,即激励和检测仪器「’]。 激励仪器主要是信号发生器。信号发生器是一种常用的信号 源,它是一种为电子测量和计量工作提供电信号的设备,它 和示波器、电压表、计数器等仪器一样是应用最广泛的电子 仪器之一。因此,几乎所有的电参量的测量都需要用到信号 发生器。在许多实际应用和实验测试处理中,它不是测量仪 器,而是根据使用者的要求,作为激励源,根据使用者的要 求仿真出各种测试信号,提供给测量电路,以满足测量或各 种实际要求。在教学和科研中,通常选择几种典型信号(如阶 跃、等速、正弦信号等)作为标准信号,用于电子电路和控制 系统的性能测试或参数测量。

目前,国外一些生产厂家己经生产出功能丰富、频带 宽、合成波形多、DAC位数高的数字信号发生器。如: 美国IFz}2.7GHz} IFR2032输出频率范围为l OKHz^5.4GHz,拥有频率、相位、幅度和脉冲调制等任意组 合的灵活性,广泛应用于RF器件和无线能信系统的测 试[f8l;美国福禄克公司生产的频率合成信号发生器F6060B,输出频率范围为0.25Hz^-1 OSOMHz;德国R.S 公司的射频信号发生器STM-03输出频率范围为 SKHz^}3GHz; Tektronix公司的VX4790A采样率为 25MS/s和VX4792采样率为250MS/s, AFG320采样率为 16MS/s有调频、调幅和移频键控等功能;Hewlett Packard公司的合成信号发生器HP8672A采样率为 18GS/s和HP83620A采样率为20GS/s,具有脉冲调制、 扫描调制、幅度调制和 • 频率调制等功能。
• 自70年代微处理器出现以后,利用微处理 器、模数转换器和数模转换器,硬件和软 件使信号发生器的功能扩大,能够产生出 比较复杂的波形。这时期的信号发生器多 以软件为主,实质是采用微处理器对 DAC(数模转换器)的程序控制,就可以得 到各种简单的波形。软件控制波形的一个 最大的缺点就是输出波形的频率低,这主 要是由CPU的工作速度决定的,如果想提 高频率可以通过改进软件程序减少其执行 周期时间或提高CPU的时钟周期来实现, 但这些办法是有限度的,根本的方法还是 要改进硬件电路。

函数信号发生器的设计说明

函数信号发生器的设计说明

函数信号发生器的设计说明设计说明:函数信号发生器一、引言二、设计目标1.实现多种基础波形的产生,包括正弦波、方波、三角波、锯齿波等。

2.实现复杂信号的产生,如脉冲信号、调频信号、调幅信号等。

3.提供可调节的信号频率、幅度、相位等参数。

4.具备高稳定性和低失真度的特点。

三、系统架构系统主要由以下模块组成:1.控制模块:负责接收输入的指令、参数,并对其他模块进行控制。

2.信号生成模块:负责产生各种类型的基础波形信号和复杂信号。

3.波形控制模块:负责对生成的信号进行频率、幅度、相位等参数的调节和控制。

4.输出模块:负责将生成的信号输出到外部设备。

四、关键技术1.时钟模块:使用高精度稳定的时钟源来提供基准时钟信号,用于信号的定时和同步。

2.数字信号处理芯片:通过运算、变换等算法实现各种基础波形信号的产生,可以实时调节频率、幅度等参数。

3.数字模拟转换模块:将数字信号转换为模拟信号,并输出到外部设备。

4.软件算法:基于不同的波形类型,设计相应的算法来生成信号,并实现参数的实时调节。

五、设计流程1.确定系统的整体架构和功能模块划分。

2.根据每个模块的功能需求和接口特点,选择合适的硬件和软件实现方案。

3.实现控制模块,包括指令的解析、参数的读取和传递等。

4.实现信号生成模块,根据不同的波形类型和参数要求,设计相应的算法实现信号的产生。

5.实现波形控制模块,设计参数的调整和控制界面,并与信号生成模块进行交互。

6.实现输出模块,将产生的信号转换为模拟信号,并输出到外部设备。

7.进行系统整体调试和测试,确保各个功能模块正常工作。

8.优化系统性能和稳定性,提高波形的准确度和控制精度。

六、预期效果本设计实现的函数信号发生器具备以下优势:1.具备多种基础波形和复杂信号的产生功能,可满足不同场合的需求。

2.通过软件算法,实现参数的实时调节和控制,提供灵活的操作界面。

3.采用高精度时钟源和数字信号处理芯片,保证信号的稳定性和精确度。

模电课程设计信号发生器设计

模电课程设计信号发生器设计

课程设计任务书一、设计题目:信号发生器设计二、设计目的:掌握方波-三角波-正弦波的设计方法和调试技术。

三、设计内容与要求:信号发生器是常用的测试仪器,常用的信号源有正弦波、方波、三角波、锯齿波、阶梯波等。

①RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz,输出幅值300mV~5V可调、负载1KΩ。

②矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。

③三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。

④多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。

四、设计思路及实验原理:1、正弦波产生电路(由放大电路、选频网络和反馈网络组成)从结构上看,RC正弦波振荡电路就是一个没有输入信号的带选频网络的正反馈放大电路。

振幅平衡和相位平衡是正弦波振荡电路产生持续振荡的两个条件。

其中,振荡频率是由相位平衡条件所决定的。

刚开始时,Rf略大于R1的两倍,这样放大倍数才会略大于3,电路才能够起振。

一段时间后,可以利用非线性元件来自动调整反馈的强弱以维持输出电压恒定,也可以将Rf 用滑动变阻器代替,人为调节放大倍数,从而使电路能够产生幅度稳定、几乎不失真的正弦波。

其选频网络的频率特性如下:1211,;11rj cr r j cZ r Z j c j c j c r j c ωωωωωω+=+===++反馈网络的反馈系数为2212();13()v Z j cRF s Z Z j cR j cR ωωω==+++由此可得RC 串并联选频网络的幅频响应及相频响应2003()v F j ωωωω=+-0()arctan;3f ωωωωϕ-=-可以计算,当00112f f rc rc ωωπ====或时,幅频响应的幅值为最大,即max 1;3F =相应的相频响应的相位角为零,即0;f ϕ=此时输出电压的幅值最大,并且输出电压为输入电压的3倍。

信号发生器设计..

信号发生器设计..

0
VSS
电源地
8
D2
VDD
电源正极
9
D3
VL
液晶显示偏压信号
10
D4
RS
数据/命令选择端(H/L)
11
D5
R/W
读写选择端(H/L)
12
D6
E
使能信号
13
D7
D0
Data I/O
14
BLA
图11-8 LCD1602液晶显示电路
11.4 软件设计
1.主程序流程图 本设计中子程序的调用是通过按键的选择来实现的,在取得相应按键的键值后,依据键值来确定各种波形的输出,以及信号幅度和频率调整,并将相应的数据送入D/A转换器转换成模拟信号,等到所需信号波形。 主程序流程图如图11-9所示,在程序开头运行之后,首先是对TLC5615进展初始化,之后推断是否有按键按下,依据键值输出相应波形,然后推断是否进展幅度和频率调整,确定波形频率后,显示其频率。
图11-1 信号发生器原理框图 89C52是整个波形发生器的核心局部,通过程序的编写和执行,产生各种波形信号,并从键盘接收数据,进展各种信号的转换和信号频率、幅度的调整。当数字信号经过数模转换电路,转换后得到的模拟信号就是所需的输出波形。
单片机STC89C 52
显示电路
键盘电路
D/A电路
复位电路
放大电路
波形输出
11.3 硬件电路设计
下面从硬件电路着手对信号发生器进展设计,主要包括主控模块、信号数据处理模块和显示电路的设计。
11.3.1 主控模块设计
1.RF-X1开发板〔STC89C52〕最小系统 RF-X1开发板〔STC89C52〕的最小系统包括时钟振荡电路和复位电路。 〔1〕时钟振荡电路 时钟振荡电路为单片机供给各种微操作的时间基准,STC89S52片内设有一个由反向放大器所构成的震荡电路,所以外部只要连接一个晶振和两个电容即可。具体电路如图11-2所示,电容C1、C2起稳定震荡频率,快速起振的作用,电容值一般为5~33pF。本设计承受22pF的电容和12MHz的晶振。

函数信号发生器的设计

函数信号发生器的设计

折线法是一种使用最为普遍、实现也较简 单的正弦函数转换方法。折线法的转换原理是, 根据输入三角波的电压幅度,不断改变函数转 换电路的传输比率,也就是用多段折线组成的 电压传输特性,实现三角函数到正弦函数的逐 段校正,输出近似的正弦电压波形。由于电子 器件(如半导体二极管等)特性的非线性,使 各段折线的交界处产生了钝化效果。因此,用 折线法实现的正弦函数转换电路,实际效果往 往要优于理论分析结果。
模拟电路的实现方案,是指全部采用模拟电 路的方式,以实现信号产生电路的所有功能。由 于教学安排及课程进度的限制,本实验的信号产 生电路,推荐采用全模拟电路的实现方案。
➢ 模拟电路实现信号产生电路的多种方式
方案一
RC文氏电桥振荡器产生正弦波,方波-三角波产生电路可正弦波振荡器采用波形 变换电路, 通过迟滞比较器变换为方波,经积分器获得三角波输出。此电路的输出 频率就是就是RC文氏电桥振荡器的振荡频率.
0.1u
负反馈电路:R1和R2决定起振条
2
件,调节波形与稳幅控制。
10k
6
3
R3并联D1.D2:正向非线性电阻
RV1 C2
7
起振时:电阻大负反馈小;
9%
R2
0.1u
3k
UA741
振荡幅值大时:电阻小负反馈大,
10k
整形限幅。
改变R 调频率
电路调整的关键是:负反馈电路中的电位器RW的 调节, RW过大:输出方波! RW过小:电路不起 振!
二、总体方案讨论
频率调节
幅度调节
振荡部分
输出电路
输出
频率指示
幅度指示
函数信号发生器的原理框图
➢ 信号产生部分的多种实现方案
▪ 模拟电路实现方案 ▪ 数字电路实现方案 ▪ 模数结合的实现方案

信号发生器的设计(综述。修改后)

信号发生器的设计(综述。修改后)

• 自60年代以来信号发生器有了迅速的发展, 出现了函数发生器。利用单片机技术和精 密函数发生电路构成的信号发生器,可实 现信号的频率偏差的自动调整,可产生高 精度、高稳定性的低频波形信号。有的甚 至只需要利用函数发生器芯片,外接很少 的电阻、电容等元件,便可产生正弦波、 方波、锯齿波和三角波等几种简单波形。 由于模拟电路的漂移大,使其输出的波形 的幅度稳定性差,而且模拟器件构成的电 路存在着尺寸大、价格贵、功耗大等缺点, 并且要产生较为复杂的信号波形,则电路 结构是非常复杂的

目前,国外一些生产厂家己经生产出功能丰富、频带 宽、合成波形多、DAC位数高的数字信号发生器。如: 美国IFR公司的射频信号发生器IFR2031输出频率范围 为IOKHz}2.7GHz} IFR2032输出频率范围为l OKHz^5.4GHz,拥有频率、相位、幅度和脉冲调制等任意组 合的灵活性,广泛应用于RF器件和无线能信系统的测 试[f8l;美国福禄克公司生产的频率合成信号发生器F6060B,输出频率范围为0.25Hz^-1 OSOMHz;德国R.S 公司的射频信号发生器STM-03输出频率范围为 SKHz^}3GHz; Tektronix公司的VX4790A采样率为 25MS/s和VX4792采样率为250MS/s, AFG320采样率为 16MS/s有调频、调幅和移频键控等功能;Hewlett Packard公司的合成信号发生器HP8672A采样率为 18GS/s和HP83620A采样率为20GS/s,具有脉冲调制、 扫描调制、幅度调制和 • 频率调制等功能。
信号发生器的设计
一 信号发生器的作用 测量仪器从宏观上可分为两大类,即激励和检测仪器「’]。 激励仪器主要是信号发生器。信号发生器是一种常用的信号 源,它是一种为电子测量和计量工作提供电信号的设备,它 和示波器、电压表、计数器等仪器一样是应用最广泛的电子 仪器之一。因此,几乎所有的电参量的测量都需要用到信号 发生器。在许多实际应用和实验测试处理中,它不是测量仪 器,而是根据使用者的要求,作为激励源,根据使用者的要 求仿真出各种测试信号,提供给测量电路,以满足测量或各 种实际要求。在教学和科研中,通常选择几种典型信号(如阶 跃、等速、正弦信号等)作为标准信号,用于电子电路和控制 系统的性能测试或参数测量。

项目9信号发生器的设计

项目9信号发生器的设计
项目9信号发生器的设计
目录
• 信号发生器概述 • 信号发生器设计基础 • 项目9信号发生器设计 • 信号发生器测试与验证 • 项目总结与展望
01 信号发生器概述
信号发生器的定义
01
信号发生器是一种能够产生一定 波形、频率和幅度的电信号的电 子设备。
02
它通常由振荡器、波形合成器和 输出电路等部分组成,用于产生 各种类型的信号,如正弦波、方 波、三角波等。
团队成员在项目过程中充分展示了良 好的协作精神和沟通能力,确保了项 目的顺利进行。
文档与测试报告
项目过程中,我们编写了详细的文档 和测试报告,为后续维护和改进提供 了依据。
项目经验教训
时间管理
技术难点攻关
在项目初期,由于对项目进度估计不足, 导致部分工作滞后。今后应更加重视时间 管理,合理分配任务。
分析测试结果与理论值之间的误差,找出误差产生的原因,并提出改进措施。
05 项目总结与展望
项目成果总结
项目目标达成情况
信号发生器的设计已完全符合预定的 技术指标,包括频率范围、波形质量 和输出功率等。
技术创新与应用
项目过程中成功应用了数字信号处理 技术,提高了信号发生器的性能和稳 定性。
团队协作与沟通
信号发生器应用领域
通信领域
信号发生器在通信领域中广泛应 用于测试和调试通信设备,如移 动通信基站、卫星通信系统等。
电子测量领域
信号发生器是电子测量领域中必 不可少的设备之一,用于测试各 种电子设备和电路的性能。
科学研究领域
信号发生器在科学研究领域中广 泛应用于物理、化学、生物等学 科的实验和测试。
未来工作展望
产品升级与优化
计划对信号发生器进行升级和优化,提高其性能 和稳定性,满足更广泛的应用需求。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA技术课程实验设计课程题目: 信号发生器的设计(元件例化语句)姓名:学号: 1107050119专业:通信工程2011级学院:电气信息学院指导教师:时间:目录:摘要----------------------------------------------------------------3 第一章:设计简介----------------------------------------------------4 1.1 EDA简介------------------------------------------------------4 1.2 VHDL简介-----------------------------------------------------4 1.3 Quartus II简介-----------------------------------------------5 1.4 SignalTap II简介---------------------------------------------5 第二章:设计基本要求------------------------------------------------52.1 学习用VHDL语言实现系统层次化设计----------------------------52.2 进一步熟悉SignalTap II的使用---------------------------------6 第三章:设计原理----------------------------------------------------63.1 信号发生器---------------------------------------------------6 3.2 实现方法-----------------------------------------------------6 第四章:设计过程----------------------------------------------------74.1 设计基本程序-------------------------------------------------74.2 管脚对应表--------------------------------------------------124.3 图形法生成原理图--------------------------------------------13 第五章:编译仿真波形-----------------------------------------------135.1 正弦波仿真结果----------------------------------------------135.2 方波仿真结果------------------------------------------------14 第六章:实验总结---------------------------------------------------14 参考文献-----------------------------------------------------------14摘要:硬件描述语言VHDL是EDA技术中的重要组成部分,VHDL是当前最流行的硬件描述语言之一,此语言具有良好的可读性,可移植等特点。

本设计主要是利用VHDL语言设计一个多功能信号发生器,根据输入信号的选择可以输出方波、正弦波,主要使用了Altera公司的Quartus II软件。

本设计利用VHDL语言使用文本输入法,新建工程,通过设计输入、编译,仿真完成各种信号的设计,然后生成元器件,再使用原理图输入法完成各部分的整合,从而形成一个完整的多波形信号发生器。

关键字:VHDL, Quartus II, 多功能信号发生器Abstract:Hardware describle language HDL is an important part of EDA technology,VHDL is one of the current most popular hardware describe language,this language has a nice readablitity and portability.this design primarily uses VHDL language to design a versatile signal generator.according to the choice of the input signal wave、the generator can export the one wave of the two waves including square-wave、sine-wave、this design primarily uses the Quartus II software of Altera company. The design uses text input method by VHDL language to creat new projects,design the input,compile,simulate various kinds signals.And it generate new component,then it form a completed various kinds sianals generator by schcmatic diagram method.第一章:设计简介1.1 EDA简介EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

1.2 VHDL简介VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。

它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

1.3 Quartus II简介Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

1.4 SignalTap II简介SignalTap II 是一款功能强大且极具实用性的FPGA片上debug工具软件,它集成在altera公司提供的FPGA开发工具Quartus II中。

SignalTap II全称SignalTap II Logic Analyzer是第二代系统级调试工具,可以捕获和显示实时信号,观察在系统设计中的硬件和软件之间的互相作用。

Quartus II软件可以选择要捕获的信号、开始捕获的时间,以及要捕获多少数据样本。

还可以选择时间数据从器件的存储器块通过JTAG端口传送至SignalTap II Logic Analyzer,还是至I/O引脚以供外部逻辑分析仪或示波器使用。

将实时数据提供给工程师帮助debug。

第二章:设计基本要求2.1 学习用VHDL语言实现系统层次化设计用元件例化语句实现系统层次化设计。

元件例化就是将以前设计的实体当作本设计的一个元件,然后用VHDL语句将各元件之间的连接关系描述出来。

元件的例化语句由两部分组成,第1部分是元件的定义,即将现成的设计实体定义为本设计的一个元件;第2部分是连接关系映射语句,即描述各元件之间的连接关系。

2.2 进一步熟悉SignalTap II的使用。

第三章:设计原理3.1 信号发生器指产生所需参数的电测试信号的仪器。

按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。

各种波形曲线均可以用三角函数方程式来表示。

能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。

本设计采用EDA来设计信号发生器,该信号发生器可以产生正弦波、方波两种波形。

3.2 实现方法本设计以EP2C35F672C8为硬件核心设计,使用VHDL语言的元件例化语句描述。

总共4个VHDL文件,包括:正弦波设计文件、方波设计文件、三选一元件设计文件和顶层设计文件。

图1 工程文件组成第四章:设计过程4.1 设计基本程序正弦波程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gen_sin isport( clk: in std_logic;d:out integer range 0 to 255 ); end gen_sin;architecture a of gen_sin isbeginprocess(clk)variable temp:integer range 0 to 63;beginif clk 'event and clk ='1' thenif temp = 63 thentemp :=0;elsetemp:=temp+1;end if;case temp iswhen 00=>d<=255;when 01=>d<=254;when 02=>d<=252; when 03=>d<=249;when 04=>d<=245;when 05=>d<=239; when 06=>d<=233;when 07=>d<=225;when 08=>d<=217; when 09=>d<=207;when 10=>d<=197;when 11=>d<=186; when 12=>d<=174;when 13=>d<=162;when 14=>d<=150; when 15=>d<=137;when 16=>d<=124;when 17=>d<=112; when 18=>d<=99;when 19=>d<=87;when 20=>d<=75; when 21=>d<=64;when 22=>d<=53;when 23=>d<=43; when 24=>d<=34;when 25=>d<=26;when 26=>d<=19; when 27=>d<=13;when 28=>d<=8;when 29=>d<=4; when 30=>d<=1;when 31=>d<=0;when 32=>d<=0;when 33=>d<=1;when 34=>d<=4;when 35=>d<=8;when 36=>d<=13;when 37=>d<=19;when 38=>d<=26; when 39=>d<=34;when 40=>d<=43;when 41=>d<=53; when 42=>d<=64;when 43=>d<=75;when 44=>d<=87; when 45=>d<=99;when 46=>d<=112;when 47=>d<=124; when 48=>d<=137;when 49=>d<=150;when 50=>d<=162; when 51=>d<=174;when 52=>d<=186;when 53=>d<=197; when 54=>d<=207;when 55=>d<=217;when 56=>d<=225; when 57=>d<=233;when 58=>d<=239;when 59=>d<=245; when 60=>d<=249;when 61=>d<=252;when 62=>d<=254; when 63=>d<=255;when others=>null;end if;end process;end a;方波程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gen_square isport( clk: in std_logic;q:out integer range 0 to 255 ); end gen_square;architecture a of gen_square isbeginprocess(clk)variable temp:integer range 0 to 63;beginif clk 'event and clk ='1' thenif temp = 63 thentemp :=0;elsetemp:=temp+1;if temp<32 thenq <=255;elseq<=0;end if;end if;end process;end a;选择器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity sel_fun isport( sel: in std_logic_vector(1 downto 0);fun_sin,fun_squ,fun_tri:in integer range 0 to 255;fun_out:out integer range 0 to 255 );end sel_fun;architecture a of sel_fun isbeginprocess(sel,fun_sin,fun_squ,fun_tri)begincase sel iswhen "00"=>fun_out<=fun_sin;when "01"=>fun_out<=fun_squ;when others=>fun_out<=fun_tri;end case;end process;end a;顶层文件library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity syn_dds isport(clk: in std_logic;sel: in std_logic_vector(1 downto 0);fun_out: out integer range 0 to 255); end syn_dds;architecture a of syn_dds iscomponent gen_sinport(clk: in std_logic;d: out integer range 0 to 255);end component;component gen_squport(clk:in std_logic;q: out integer range 0 to 255);end component;component sel_funport(sel:in std_logic_vector(1 downto 0);fun_sin,fun_squ,fun_tri: in integer range 0 to 255;fun_out: out integer range 0 to 255);end component;signal tclk_out:std_logic;signal tfun_sin,tfun_squ,tfun_tri:integer range 0 to 255;beginu1:gen_sin port map (clk,tfun_sin);u2:gen_squ port map (clk,tfun_squ);u4:sel_fun port map(sel,tfun_sin,tfun_squ,tfun_tri,fun_out); end a;4.2 管脚对应表图2 管脚对应表4.3 图形法生成原理图图3元件例化语句自动生成原理图图4第五章:编译仿真波形将程序输入进行编译后用Signal Tap Ⅱ进行波形的仿真,并使用实验箱上的相应的拨码开关进行选择。

相关文档
最新文档