QuartusII工程文件的后缀含义
Quartus简介

建立工程
Quartus II 软件将工程信息存储在 Quartus II 工程配置文件 (.quartus) 中。 它包含有关 Quartus II 工程的所有信息,包括设计文件、波形文件、 SignalTap® II 文件、内存初始化文件以及构成工程的编译器、仿真器和软件 构建设置。 可以使用 New Project Wizard (File 菜单)或 quartus_map 可 执行文件建立新工程。 使用 New Project Wizard,可以为工程指定工作目录、分配工程名称以及 指 定最高层设计实体的名称。 还可以指定要在工程中使用的设计文件、其它源 文件、用户库和 EDA 工具,以及目标器件系列和器件(也可以让 Quartus II 软件自动选择器件)。 建立工程后,可以使用 Settings 对话框(Assignments 菜单)的 Add/Remove 页 在工程中添加和删除设计和其它文件。 在执行 Quartus II Analysis & Synthesis 期间, Quartus II 软件将按 Add/Remove 页中显示的 顺序处理文件。
QuartusⅡ简介
QuartusⅡ是Altera公司提供的 FPGA/CPLD开发集成环境,Altera是世界 上最大可编程逻辑器件的供应商之一。 QuartusⅡ界面友好,使用便捷,被誉为业 界最易用易学的EDA软件。在QuartusⅡ上 可以完成设计输入、元件适配、时序仿真 和功能仿真、编程下载整个流程,它提供 了一种与结构无关的设计环境,是设计者 能方便地进行设计输入、快速处理和器件 编程。
PROTEL是PORTEL公司推出的电路行业的CAD 软件,它当之无愧地排在众多EDA软件的前面, 是电路设计者的首选软件。它较早在国内使用, 普及率也最高,有些高校的电路专业还专门开设 了课程来学习它。几乎所有的电路公司都要用到 它。早期的PROTEL主要作为印刷板自动布线工 具使用,运行在DOS环境,对硬件的要求很低, 在无硬盘286机的1M内存下就能运行。它的功能 较少,只有电原理图绘制与印刷板设计功能,印 刷板自动布线的布通率也低。
QuartusII中各种文件的含义

Quartus II中各种文件的含义工程文件.qpf*.bit 下载配置文件(.sof—JTAG/.pof—AS)。
*.bld 报告文件。
*.edn 网表文件。
*.dly 异步延时报告对于最坏的20个路径。
*.fdo 自动创建的仿真宏文件。
*.fnf 文件为floorplan 文件。
*.mpf 文件来存储对设计的物理约束。
*.mfp 由Floorplanner 产生的布局规划期的实现指导文件。
*.mrp 映射报告文件。
*.nav 报告文件和bld 一样的内容但是察看方式不同。
*.ngd 综合之后的包含了ucf和网表信息的文件。
*.ngc 包含了逻辑设计数据和约束的网表。
*.ncf 网表的约束文件工具自动生成与ucf一样但ucf优先级更高。
*.nmc 物理宏库单元文件包含了物理宏库的定义同时这个物理宏可以在FPGA底层编辑器中以及HDL编码中实例化。
*.nce 布局布线后设计。
*.ncd native circuit description 根据所选器件由ngd文件映射后生成的使用CLB和IOB描述一个提供给布局布线信息的文件。
*.pcf 物理约束文件,约束设计的物理位置,含有设计的时钟频率工作电压,All Location and Timing Constraints are written to a PCF during MAP。
*.pwr 功耗报告文件。
*.pad 管脚约束报告。
导入引脚文件.csv*.par 布局布线报告。
*.sdf 布局布线后的延时反标文件。
*.twr 映射后静态时序报告。
*.twx 布局布线后静态时序报告。
*.ucf 用户约束文件。
*.v verilog文件。
*.vhd vhdl 文件。
*.xml为用户设置文件保存Xpower 的主要设置。
2 Quartus II及其原理图设计

QUARTUS II –原理图设计例子
32
QUARTUS II –Functional Simulation功能仿真 功能仿真:验证综合电路逻辑运算单元的正确性, 不考虑器件的时延,算是理想状态下的仿真, Quartus II程序默认timing simulation
33
QUARTUS II –Functional Simulation功能仿真
52
QUARTUS II –基于LPM宏单元库的设计
算数运算模块库
53
QUARTUS II –基于LPM宏单元库的设计
lpm_mult
参数化乘法器lpm_mult宏功能模块的基本参数表
54
QUARTUS II –基于LPM宏单元库的设计
(1)调用lpm_mult
55
QUARTUS II –基于LPM宏单元库的设计
双击设置时 间点
24
QUARTUS II –原理图设计例子
25
QUARTUS II –原S II –原理图设计例子
27
QUARTUS II –原理图设计例子
28
QUARTUS II –原理图设计例子
29
QUARTUS II –原理图设计例子
最终设置
30
QUARTUS II –原理图设计例子
38
编译注意
要设置正确的工作文件 为Top-Level
39
编译注意
要设置正确和工作文件 匹配的vwf文件
40
QUARTUS II –习题一
1-2 基于Quartus II软件,用7490设计一个能计时(12小 时)、计分(60分)和计秒(60秒)的简单数字钟电路。 设计过程如下: (1)先用Quartus II的原理图输入方式,用7490连接成包 含进位输出的模60的计数器,并进行仿真,如果功能正确, 则将其生成一个部件; (2)将7490连接成模12的计数器,进行仿真,如果功能正 确,也将其生成一个部件; (3)将以上两个部件连接成为简单的数字钟电路,能计时、 计分和计秒,计满12小时后系统清0重新开始计时。 (4)在实现上述功能的基础上可以进一步增加其它功能, 比如校时功能,能随意调整小时、分钟信号,增加整点报时 功能等。
第二讲 QuartusII简介

3、DesignUnits
当前工程中的使用的所有设计单元。这些 单元既包含QuartusⅡ软件中自带的设计模 块(如乘法器、移位寄存器等),也包含 用户自己设计的单元模块。
信息区
信息区用于显示系统在编译和仿真过程中 所产生的指示信息。例如,语法信息、成 功信息等。信息区提供七大类操作标记信 息:ExtraInfo、Info、Warning、 CriticalWarning以及Error等。
图4-7 选择目标器件EP2C5T144
4.4 JTAG间接模式编程配置器件
图4-8 选定SOF文件后,选择文件压缩
4.4 JTAG间接模式编程配置器件
பைடு நூலகம்
图4-9 用JTAG模式对配置器件EPCS1进行间接编程
4.5 USB Blaster编程配置器件使用方法
图4-10安装USB驱动程序
4.5 USB Blaster编程配置器件使用方法
图3-12 设置仿真时间长度
3.5 时序仿真
图3-13 vwf激励波形文件存盘
3.5 时序仿真
图3-14 向波形编辑器拖入信号节点
3.5 时序仿真
图3-15 设置时钟CLK的周期
3.5 时序仿真
图3-16 选择总线数据格式
3.5 时序仿真
图3-17设置好的激励波形图
3.5 时序仿真
图3-18 选择仿真控制
Quartus开发系统
全集成设计工具
多种输入方法 逻辑综合 布局布线 仿真 时序和功耗分析
支持Windows、Linux 节点锁定和网络许可选项
QuartusⅡ软件支持的器件有:StratixⅡ、 StratixGX、Stratix、Mercury、MAX3000A、 MAX7000B、MAX7000S、MAX7000AE、 MAXⅡ、FLEX6000、FLEX10K、 LEX10KA、FLEX10KE、Cyclone、 CycloneⅡ、PEXⅡ、APEX20KC、 APEX20KE和ACEX1K系列。
QUARTUSII简介

2 Qua r tus II开发系统介绍2.1 Quartus II简介Max+plus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。
目前Altera已经停止了对Max+plus II 的更新支持。
Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是Quartus II 8.0版,该软件有如下几个显著的特点:1、Quartus II 的优点该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。
该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
2、Quartus II对器件的支持Quartus II支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。
支持MAX II CPLD系列、Cyclone系列、Cyclone II、Stratix II系列、Stratix GX系列等。
支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
quartus II使用手册

23
从库中调入元件及引脚符号(续)
选择引脚符号名
调入该引脚符号
24
绘制原理图(本例为半加器)
连线; 给引脚命名 ;
25
绘制原理图中的其他操作
删除符号或连线; 复制元件符号 ; 橡皮筋功能:打开橡皮筋功能时,拖动元件符号或连线时, 原理图拓扑关系保持不变。
26
设计的VHDL描述
27
( 1)选择 Create Project
( 2)点击 OK
37
进入编译器
选择命令Processing︱Compiler Tool ,打开编译器窗口:
编译器包含5个主模块,可以连续运行5个模块,也可以单 独运行某模块。
38
编译器的 5 个主模块
分析和综合(Analysis & Synthesis)模块:把原始描述转 化为逻辑电路,映射到所选定的可编程器件。 装配(Fitter)模块:将前一步确定的逻辑元件在目标芯 片上布局、布线; 组装(Assembler)模块:形成编程文件; 时序分析(Timing Analyzer)模块; 产生EDA工具网表(EDA Netlist Writer)模块:目的是 与其他EDA工具相衔接。
第 1 条出错信息
35
综合和编译
36
编译前的准备工作
Quartus II 只对项目进行编译 方法1:先借助于New Project Wizard创建一个新项目, 再创建设计输入文件(已介绍)。 方法2:先建立设计输入文件,再将其设置为顶层文件, 进一步确定其为项目。 选择命令Project︱Set as Top-Level Entity,
33
altera常用扩展名介绍(自创)

author: liu xiao ping date: 2007.01.8各扩展名的顺序没安排好,以后有时间再改正欢迎补充与改正,可联系qq:53579621参考quartus helpQuartus II是一款功能强大的EDA软件。
在这个集成开发环境中,PLD使用者可以完成编辑、编译、仿真、综合、布局布线、时序分析、生成编程文件、编程等全套PLD开发流程。
Quartus II以工程(Project)为单位管理文件。
保证了设计文件的独立性和完整性。
由于Quartus II功能众多,每一项功能都对应一个甚至多个文件类型。
在使用中,如果需要转移或备份某一工程对应的文件,对众多文件的取舍成了一个令人头痛的问题。
类似问题,在使用Maxplus II的过程中也很常见。
使用Quartus II自带的工程文件压缩功能可以省去取舍文件的麻烦。
但是.qar文件把众多文件压缩成一个文件,只有解压缩才能获取具体文件的信息。
如果使用版本控制工具(如CVS)的话,对.qar 文件无法进行版本比较。
甚至当.qar文件受损时,部分甚至全部文件都无法恢复了。
而且,缺省配置的.qar文件也包含了一些非关键文件,存在一定的冗余。
解决这一问题的关键在于弄清文件扩展名的意义,明明白白地控制文件的取舍。
The following file types are available for use in the Quartus II software:上面这些文件可以分为五类:1. 编译必需的文件:设计文件(.gdf、.bdf、EDIF输入文件、.tdf、verilog设计文件、.vqm、.vt、VHDL设计文件、.vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。
2. 编译过程中生成的中间文件(.eqn文件和db目录下的所有文件)3. 编译结束后生成的报告文件(.rpt、.qsmg等)4. 根据个人使用习惯生成的界面配置文件(.qws等)5. 编程文件(.sof、.pof、.ttf等)1).vqm V erilog Quartus Mapping FileSynplicity Synplify 软件或Quartus II 软件生成的Verilog HDL 格式网表文件。
QuartusII用户指南(中文版)

© 2001 Altera® Corporation 27
编译设置 – 芯片和器件
1. 选择引脚号 2. 调用引脚查找器去查找引脚名 或类型 3. 增加到指定列表
© 2001 Altera® Corporation 28
编译设置 – 综合与配置
APEX™ 配置器: 配置器 •PowerFit配置器 配置器 – 更好的Fmax和编译时间 更好的 和编译时间 – 对打包(Cliques)有限制 对打包( ) •标准配置器 标准配置器 – PTERM 模式 定时驱动式编译: 定时驱动式编译 •优化 I/O 引脚的延时 优化 •优化 内部的延时 优化
Quartus II 用户指南
© 2001 Altera® Corporation 1
设计描述
设计输入
设计修改 设计编译 功能确认 命令行模式 脚本 延时确认 器件编程 在线确认
生产
© 2001 Altera® Corporation 2
设计输入
! 多种设计输入方法
– Quartus II
• 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 – Hex, Mif
编辑项目设置
打开项目基本设置对话框
增加/去除项目文件 增加 去除项目文件
增加 - 找到文件 - 增加 去除 - 从列表中选择 - 去除
© 2001 Altera® Corporation 21
Quartus II 编译
© 2001 Altera® Corporation 22
编译设置指南
指定编译模快及设定名 字
•运行延时分析 运行延时分析? 运行延时分析 •运行仿真 运行仿真? 运行仿真
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Quartus II工程文件的后缀含义(上)
Quartus II工程文件的后缀含义(下)
上面这些文件可以分为五类:
1.编译必需的文件:设计文件(.gdf、.bdf、EDIF输入文件、.tdf、verilog设计文件、.vqm、.vt、VHDL设计文件、. vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。
2.编译过程中生成的中间文件(.eqn文件和db目录下的所有文件)
3.编译结束后生成的报告文件(.rpt、.qsmg等)
4.根据个人使用习惯生成的界面配置文件(.qws等)
5.文件(.sof、.pof、.ttf等)
上面分类中的第一类文件是一定要保留的;第二类文件在编译过程中会根据第一类文件生成,不需要保留;第三类文件会根据第一类文件的改变而变化,反映了编译后的结果,可以视需要保留;第四类文件保存了个人使用偏好,也可以视需要保留;第五类文件是编译的结果,一定要保留。
在使用版本控制工具时,我通常保留第一类、第三类和第五类文件。
但是第三类文件通常很少被反复使用。
所以,为了维护一个最小工程,第一类和第五类文件是一定要保留的。
此外,当一个项目的设置内容需要转移给另一个项目时,例如引脚分配信息,需要转移.tcl 文件而不是.qsf文件。
.tcl文件与.qsf。