《EDA技术与应用》A卷及答案

合集下载

西华大学EDA课程试卷+参考答案( A卷)1

西华大学EDA课程试卷+参考答案( A卷)1

西华大学课程考试参考答案( A卷)课程代码:8400070试卷总分: 100 分一、填空题参考答案及评分标准:(本大题共6小题10空,每空3分,总计30分)评分标准:填对一空得3分,不填或填错得0分。

参考答案:1. EDA的中文全称为电子设计自动化,FPGA的中文全称为现场可程序门阵列。

2. 一个大型的组合电路总延时为120ns,采用流水线将它分三个较小的组合电路,理论最高工作频率可达25MHz。

3. 实现一个大量数据处理、存储的电路,应选ACEX1K(填ACEX1K或MAX700)器件。

4.在以下的表达式或语句中选出正确的并将其番号填在空格处。

①4’b1110^4’b1101=4’h1111; ②8’b00101000=8’h28;③reg b; assign b=a; ④reg [1:0]c ; always@(posedge clk) c[1:0]=a[1:0];⑤{3{3’b110}}=6’B101010; ⑥4’b10ZX =4’b10Zx;正确答案②,④,⑥。

5.时序仿真和功能仿真中,没有包含器件时延参数的是功能仿真。

6、FPGA/CPLD设计流程中设计输入主要有:、HDL语言、原理图、波形图。

1、电子设计自动化、现场可程序门阵列2、25MHz3、ACEX1K4、②、④、⑥5、功能仿真6、HDL语言、原理图(只要意思正确即可)注:如有错别字,但不影响该空正确答案的判断只扣1分。

二、判断题参考答案及评分标准:(本大题共10小题,每小题3分,总计30分)评分标准:判断正确一道得3分,不判断或判断错得0分。

参考答案:1、MAXII和MAX7000器件都有LUT。

------------------------------------------------(V )2、Verilog HDL硬件描述方式中有行为描述方式。

---------------------------------(V )3、一个电路设计中使用了一个时钟,它最好锁到全局时钟管脚上。

eda考试试卷及答案

eda考试试卷及答案

eda考试试卷及答案EDA考试试卷及答案一、单项选择题(每题2分,共20分)1. EDA技术主要应用于以下哪个领域?A. 教育B. 电子设计自动化C. 医疗D. 交通答案:B2. 在EDA软件中,以下哪个不是设计输入的基本方法?A. 原理图输入B. 文本输入C. 手绘输入D. 硬件描述语言输入答案:C3. 以下哪个不是数字逻辑电路设计的基本步骤?A. 逻辑功能设计B. 电路原理图设计C. 电路仿真测试D. 机械结构设计答案:D4. 在EDA技术中,VHDL和Verilog HDL属于以下哪种类型的硬件描述语言?A. 行为级描述B. 结构级描述C. 数据流描述D. 寄存器传输级描述答案:A5. 在EDA设计中,以下哪个工具主要用于PCB布局和布线?A. 仿真软件B. 原理图编辑器C. 逻辑综合工具D. PCB设计软件答案:D6. 以下哪个不是EDA设计中的测试验证方法?A. 功能仿真B. 时序仿真C. 硬件仿真D. 软件仿真答案:D7. 在EDA设计中,以下哪个不是FPGA的配置方式?A. 在系统编程B. 串行配置C. 并行配置D. 网络配置答案:D8. 在EDA设计中,以下哪个不是可编程逻辑器件?A. PALB. PLAC. FPGAD. TTL集成电路答案:D9. 在EDA设计中,以下哪个不是逻辑优化的目标?A. 减少逻辑门数量B. 减少功耗C. 提高电路速度D. 增加电路复杂度答案:D10. 在EDA设计中,以下哪个不是PCB设计需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械强度答案:D二、多项选择题(每题3分,共15分)11. EDA技术可以应用于以下哪些领域?(多选)A. 通信B. 计算机C. 消费电子D. 工业控制答案:ABCD12. 在EDA设计中,以下哪些是常见的仿真工具?(多选)A. ModelSimB. Quartus IIC. ISED. MATLAB答案:AD13. 在EDA设计中,以下哪些是常见的FPGA品牌?(多选)A. XilinxB. AlteraD. Cypress答案:ABCD14. 在EDA设计中,以下哪些是PCB设计需要考虑的因素?(多选)A. 信号完整性B. 电源完整性C. 电磁兼容性D. 成本控制答案:ABCD15. 在EDA设计中,以下哪些是可编程逻辑器件?(多选)A. PALB. PLAC. CPLD答案:ABC三、判断题(每题1分,共10分)16. EDA技术可以提高电路设计的效率和准确性。

eda考试试题及答案

eda考试试题及答案

eda考试试题及答案EDA考试试题及答案一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子文档自动化D. 电子设备自动化答案:A2. 在EDA软件中,用于描述数字电路行为的硬件描述语言是以下哪个?A. VHDLB. VerilogC. VHSICD. VHDL和Verilog答案:D3. 下列哪个不是EDA工具的主要功能?A. 电路仿真B. 逻辑综合C. 版图设计D. 电路测试答案:D4. 在VHDL中,用于定义信号的关键字是?A. variableB. signalC. constantD. type答案:B5. 在Verilog中,用于描述时序逻辑的关键字是?A. alwaysB. initialC. always_combD. always_ff答案:D6. 下列哪个不是EDA设计流程中的步骤?A. 电路设计B. 电路仿真C. 电路验证D. 电路制造答案:D7. 在EDA设计中,用于优化电路性能的步骤是?A. 逻辑综合B. 电路仿真C. 版图设计D. 电路验证答案:A8. 在VHDL中,用于实现条件语句的关键字是?A. ifB. caseC. whenD. both A and B答案:D9. 在Verilog中,用于实现并行赋值的语句是?A. assignB. alwaysC. initialD. both A and B答案:A10. 下列哪个是EDA设计中用于版图设计的软件?A. CadenceB. Xilinx ISEC. ModelSimD. both A and C答案:D二、多项选择题(每题3分,共15分)11. EDA技术在以下哪些领域有应用?A. 集成电路设计B. 电子系统设计C. 软件开发D. 机械设计答案:A, B12. 在EDA设计流程中,以下哪些步骤是必要的?A. 电路设计B. 电路仿真C. 电路验证D. 电路制造答案:A, B, C13. 在VHDL中,以下哪些关键字用于定义不同类型的数据?A. typeB. subtypeC. rangeD. signal答案:A, B, C14. 在Verilog中,以下哪些关键字用于描述时序逻辑?A. alwaysB. initialC. always_combD. always_ff答案:A, D15. EDA工具可以提供哪些功能?A. 电路仿真B. 逻辑综合C. 版图设计D. 电路测试答案:A, B, C, D三、简答题(每题5分,共20分)16. 请简述EDA技术的重要性。

EDA技术与VHDL(A卷答案)

EDA技术与VHDL(A卷答案)

4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是C。

A. FPGA是基于乘积项结构的可编程逻辑器件;B. FPGA是全称为复杂可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D. 在Altera公司生产的器件中,MAX3000系列属FPGA结构。

5.以下对于进程PROCESS的说法,正确的是: AA. 进程语句本身是并行语句B. 进程内部由一组并行语句来描述进程功能C. 进程之间可以通过变量进行通信D. 一个进程可以同时描述多个时钟信号的同步时序逻辑6.在VHDL语言中,下列对时钟上升沿检测描述中,错误的是C。

A. if clk’event and clk = ‘1’ thenB. if rising_edge(clk) thenC. if clk’event and clk = ‘0’ thenD. if not clk’stable and clk = ‘1’ then7.关于VHDL中的数字,请找出以下数字中数值最大的一个:BA. 2#1111_1110#B. 8#366#C. 10#169#D. 16#F#E18.下列标识符中,B是不合法的标识符。

A. State0B. 9moonC. Not_Ack_0D. signall9.下列语句中,不属于并行语句的是:DA.进程语句B.条件信号赋值语句C.元件例化语句D.IF语句10. 状态机编码方式中,其中 C 占用触发器较多,但其实现比较适合FPGA的应用A. 状态位直接输出型编码B. 顺序编码C. 一位热码编码D. 以上都不是1.在程序中存在两处错误,试指出,并说明理由:14行,TMP和A矢量位宽不一致19行,CASE语句缺少WHEN OTHERS语句处理剩余条件2.修改相应行的程序(如果是缺少语句请指出大致的行数):错误1 行号:9程序改为:SIGNAL TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号:29 程序改为:这行后添加when others => null;SEL COUT 00011011OTHERSA orB A xor B A nor B A and B “XX ”Begin Process (sel, ain, bin) Begin Case sel is when “00” => cout <= ain or bin; when “01” => cout <= ain xor bin; when “10” => cout <= ain and bin; when others => cout <= ain nor bin; End case; End process;End rtl;2. 看下面原理图,写出相应VHDL 描述(10分)DQDFFDQ DFFORyoutOUTPUTxinINPUTclkINPUTLIBARRY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY MYCIR IS PORT ( XIN, CLK : IN STD_LOGIC; YOUT : OUT STD_LOGIC); END MYCIR;ARCHITECTURE ONE OF MYCIR IS SIGNAL A, B, C; BEGIN B <= XIN OR A; PROCESS (CLK) BEGIN IF CLK’EVENT AND CLK = ‘1’ THEN A <= C; C <= B; END IF; END PROCESS; YOUT <= C; END ONE;六、综合题:(20分)(一)已知状态机状态图如图(a)所示;完成下列各题:1.试判断该状态机类型,并说明理由。

EDA技术及应用习题参考答案

EDA技术及应用习题参考答案

习题参考答案第2章1.可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL2.EPC型号的存储器3.(1)编程输入(2)编译若编译不成功,需要回到第一步检查编程输入,直到编译成功为止(3)仿真仿真的结果直接反映编程的结果,若结果不正确,也需要返回到第一步,重复前面的过程(4)下载4.FPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。

因此,需在FPGA外加EPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。

CPLD器件一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。

FPGA器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现较复杂的组合电路则需要几个CLB结合起来实现。

CPLD的与或阵列结构,使其适于实现大规模的组合功能,但触发器资源相对较少。

5.67.宏单元、PIA、I/O控制块8.MAX7000系列一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。

但是编写次数有限,编程的速度不快;FLEX10K系列采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。

因此,需在FPGA外加专用配置芯片,将配置数据写入其中,系统每次上电自动将数据引入专用配置芯片中。

第3章1.设计输入、项目编译、仿真和定时分析、编程下载2.(1)原理图输入适合于对系统电路很熟悉的情况或用在对时间特性要求较高的场合;(2)波形图输入适用于时序逻辑和有重复性的逻辑函数;(3)文本输入适用于从逻辑门层次的描述到整个系统的描述。

;(4)层次化输入适用于结构较复杂的系统。

3.优点:(1)支持模块化,底层模块可反复被调用,多个底层模块可由不同的设计者同时使用,提高了设计效率;(2)设计方法比较自由;(3)团队之间的合作方便灵活。

eda考试及答案

eda考试及答案

eda考试及答案一、单项选择题(每题2分,共20分)1. EDA技术的主要应用领域不包括以下哪一项?A. 电子设计自动化B. 计算机辅助设计C. 计算机辅助制造D. 集成电路设计答案:C2. 在EDA技术中,HDL指的是什么?A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 电路仿真B. 电路优化C. 电路布局D. 电路测试答案:D4. 在EDA设计流程中,哪个步骤是用于验证电路设计的逻辑功能是否正确?A. 综合B. 布局C. 功能仿真D. 布线答案:C5. 以下哪个是用于描述数字电路行为的硬件描述语言?A. VHDLB. VerilogC. C语言D. Java答案:A6. 在EDA设计中,哪个步骤涉及到将高级硬件描述语言转换为门级网表?A. 综合B. 功能仿真C. 布局D. 布线答案:A7. 以下哪个不是EDA设计中的测试向量?A. 静态测试向量B. 动态测试向量C. 随机测试向量D. 人工测试向量答案:D8. 在EDA设计中,哪个步骤是用于优化电路的性能和面积?A. 综合B. 布局C. 布线D. 优化答案:D9. 以下哪个是EDA设计中的可编程逻辑器件?A. FPGAB. ASICC. CPLDD. 以上都是答案:D10. 在EDA设计中,哪个步骤涉及到将电路设计映射到实际的物理芯片上?A. 综合B. 布局C. 布线D. 封装答案:C二、多项选择题(每题3分,共15分)11. EDA技术可以应用于以下哪些领域?A. 通信系统设计B. 计算机系统设计C. 消费电子产品设计D. 汽车电子系统设计答案:ABCD12. 在EDA设计流程中,以下哪些步骤是必要的?A. 功能仿真B. 综合C. 布局D. 布线答案:ABCD13. 以下哪些是硬件描述语言的特点?A. 可读性强B. 可移植性好C. 可扩展性好D. 可维护性好答案:ABCD14. 在EDA设计中,以下哪些因素会影响电路的性能?A. 电路的逻辑复杂度B. 电路的布局C. 电路的布线D. 电路的优化答案:ABCD15. 以下哪些是可编程逻辑器件的优势?A. 可重构性B. 灵活性高C. 开发周期短D. 成本低答案:ABCD三、判断题(每题2分,共10分)16. EDA技术可以提高电路设计的效率和准确性。

EDA技术与应用课后习题答案(2)

EDA技术与应用课后习题答案(2)

EDA技术与应用课后习题答案(2)END IF;END PROCESS;PR02:PROCESS(s1)BEGINIF s1=”0” THEN outy<=a1;ELSE outy<=tmp;END IF;END PROCESS;END ARCHITECTURE ONE;END CASE;4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。

4-4.答案LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MULTI ISPORT(CL:IN STD_LOGIC; --输入选择信号CLK0:IN STD_LOGIC; --输入信号OUT1:OUT STD_LOGIC);--输出端END ENTITY;ARCHITECTURE ONE OF MULTI ISSIGNAL Q : STD_LOGIC;BEGINPR01: PROCESS(CLK0)BEGINIF CLK ‘EVENT AND CLK=’1’THEN Q<=NOT(CL OR Q);ELSEEND IF;END PROCESS;PR02: PROCESS(CLK0)BEGINOUT1<=Q;END PROCESS;END ARCHITECTURE ONE;END PROCESS;4-5.给出1位全减器的VHDL描述。

要求:(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in 是借位输入。

(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr) 4-5.答案底层文件1:or2a.VHD实现或门操作LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b;END ARCHITECTURE one;底层文件2:h_subber.VHD实现一位半减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY h_subber ISPORT(x,y:IN STD_LOGIC;diff,s_out::OUT STD_LOGIC);END ENTITY h_subber;ARCHITECTURE ONE OF h_subber ISSIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGINxyz <= x & y;PROCESS(xyz)BEGINCASE xyz ISWHEN "00" => diff<='0';s_out<='0';WHEN "01" => diff<='1';s_out<='1';WHEN "10" => diff<='1';s_out<='0';WHEN "11" => diff<='0';s_out<='0';WHEN OTHERS => NULL;END CASE;END PROCESS;END ARCHITECTURE ONE;顶层文件:f_subber.VHD实现一位全减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_subber ISPORT(x,y,sub_in:IN STD_LOGIC;diffr,sub_out:OUT STD_LOGIC);END ENTITY f_subber;ARCHITECTURE ONE OF f_subber IS COMPONENT h_subberPORT(x,y:IN STD_LOGIC;diff,S_out:OUT STD_LOGIC);END COMPONENT;COMPONENT or2aPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f: STD_LOGIC;BEGINu1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f);u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);END ARCHITECTURE ONE;END ARCHITECTURE ART;4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。

eda原理及应用试题及答案

eda原理及应用试题及答案

eda原理及应用试题及答案一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)的中文意思是:A. 电子设计自动化B. 电子文档自动化C. 电子数据自动化D. 电子设备自动化答案:A2. EDA技术不包括以下哪一项:A. 电路仿真B. PCB设计C. 电子制图D. 硬件描述语言答案:C3. 在EDA中,HDL指的是:A. 高级数据链接B. 高级设计语言C. 硬件描述语言D. 硬件开发语言答案:C4. 下列哪个不是EDA软件工具的功能:A. 逻辑综合B. 布局布线C. 代码编译D. 时序分析答案:C5. 在EDA中,用于描述数字逻辑电路行为的HDL是:A. VerilogB. VHDLC. C语言D. Java答案:A6. EDA技术在以下哪个领域应用最为广泛:A. 软件开发B. 机械设计C. 电子设计D. 建筑设计答案:C7. 以下哪个不是EDA工具所支持的仿真类型:A. 功能仿真B. 时序仿真C. 物理仿真D. 行为仿真答案:C8. 在EDA设计流程中,通常最后进行的步骤是:A. 逻辑综合B. 布局布线C. 编译D. 测试答案:D9. 以下哪个不是EDA设计流程中的步骤:A. 需求分析B. 逻辑设计C. 电路测试D. 市场调研答案:D10. EDA技术可以提高以下哪方面的效率:A. 电路设计B. 产品销售C. 客户服务D. 物流管理答案:A二、填空题(每题2分,共20分)1. EDA技术的核心是______,它允许设计师在没有实际硬件的情况下对电路进行测试和验证。

答案:仿真2. 在EDA中,______是一种高级的编程语言,用于描述和设计电子系统。

答案:硬件描述语言3. 逻辑综合是将______转换为门级网表的过程。

答案:HDL代码4. PCB设计中,EDA工具可以帮助设计师进行______和______。

答案:布局;布线5. 时序分析是确保电路在规定的______内正确工作的分析。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1 汕头大学成人教育学院二0一0年春季学期期末考试试卷 试卷编号:A卷 闭卷

课程名称:《EDA技术与应用》 班级专业: 姓名: 学号: 一、 填空题(20分,每小题1分) 1. VHDL的中文名称是___________________________________________。 2. 用EDA技术进行电子系统设计的目标是最终完成 ________ 的设计与实现。 3. 可编程器件分为 ____ 和 _______ 。 4. 标准逻辑位数据类型常用的数值有 ___ 、 ___ 、 ___ 等。 5. 在VHDL语言中可以使用的数据类型有: _____ 、 ____________、 ________。 6. 完整的条件语句将产生 ________ 电路,不完整的条件语句将产生 ________ 电路。 7. 信号的赋值符号为 ___ 变量的赋值符号为 ___ 。 8. 随着EDA技术的不断完善与成熟, _________的设计方法更多的被应用于VHDL设计当中。 9. EDA设计过程中的仿真有三种,它们是________ 仿真、 _______ 仿真和 ______ 仿真。 10. 目前国际上较大的PLD器件制造公司有 __________ 和 _________ 公司。 二、简答题(20分,每小题4分) 1、与HDL文本输入法相比较,原理图输入法有何优点?

2、写出结构体的一般语言格式并说明其作用 2

3、信号和变量的区别?

4、写出PROCESS语句结构的一般表达格式。

5、写出五种以上的VHDL的预定义数据类型。 三、程序注解(20分,每空1分) library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________ end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能: ____________________________ 3

signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ begin if (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________ 四、VHDL语言编程题(1、2小题10分,3小题20分) 1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。 4

2.设计一个带有复位控制端和时钟使能控制端的10进制计数器。

3、下图是4选1多路选择器,试分别用IF_THEN语句或CASE语句的表达方式写出此电路的VHDL程序。选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'和s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。 5

《EDA技术与应用》A卷答案: 一、 填空题(20分,每小题1分) 1、超高速集成电路硬件描述语言 2、 ASIC 3、 FPGA 和 CPLD 。 4、 ‘1’ 、 ‘0’ 、 ‘z’ 5、 位 、 标准逻辑位、 布尔。 6、 组合 , 时序 7、 <= = 。 8、 自顶向下 9、行为 、 逻辑 和 时序 10、 Altera 和 Xilinx 二、简答题(20分,每小题4分) 1、与HDL文本输入法相比较,原理图输入法有何优点? ①设计者不需增加新的相关知识,如HDL等。 ②输入方法与用protel作图相似,设计过程形象直观, 适合初学者入门。 ③对于较小的电路模型,其结构与实际电路十分接近, 设计者易于把握电路全局(适合设计小型数字电路)。 ④设计方式接近于底层电路布局,因此易于控制逻辑资源的耗用,节省面积。 2、写出结构体的一般语言格式并说明其作用 ARCHITECTURE 结构体名 OF 实体名 IS [说明语句] BEGIN [功能描述语句] END ARCHITECTURE 结构体名; 结构体用于描述电路器件的内部逻辑功能或电路结构。使用的语句有顺序语句和并行语句。

3、信号和变量的区别? ①信号赋值至少有δ延时,而变量赋值没有延时。 ②信号除当前值外有许多相关的信息,而变量只有当前值。 ③进程对信号敏感而对变量不敏感 ④信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见。 ⑤信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。 ⑥信号赋值和变量赋值分别使用不同的赋值符号“<=”和“:=”,信号类型和变量类型可以完全一致,也允许两者之间相互赋值,但要保证两者的类型相同。 4、写出PROCESS语句结构的一般表达格式。 [进程标号: ] PROCESS [ ( 敏感信号参数表 ) ] [IS] [进程说明部分] BEGIN 顺序描述语句 END PROCESS [进程标号]; 5、写出五种以上的VHDL的预定义数据类型。

布尔(BOOLEAN)数据类型、位(BIT)数据类型、位矢量(BIT_VECTOR)数据类型 字符(CHARACTER)数据类型、整数(INTEGER)数据类型、实数(REAL)数据类型 字符串(STRING)数据类型、时间(TIME)数据类型 三、程序注解(20分,每空1分) library ieee; 定义元件库 use ieee.std_logic_1164.all; 使用ieee库中 ENTITY aa1 is 定义实体 __ port(a,b,s:in bit; a,b,s为输入端口,数据类型bit end aa1; 实体描述结束 architecture one of aa1 is 定义结构体 6

y<=a when s='0' else b; 当S=0时 y=a,否则等b end one; 结构体描述结束 逻辑功能: 2选1选择器

signal s1 : bit ; 定义信号s1 begin 结构体描述开始 process (clk,d) 进程语句 begin if (clk = ‘1’) 判断高电平 then 不完整条件语句 s1 <= d; d向信号赋值 end if; if语句结束 q <= s1 ; 信号s1 向q赋值 end process; 进程语句结束 end bo; 结构体描述结束 逻辑功能: 锁存器描述 四、VHDL语言编程题(1、2小题10分,3小题20分) 1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。 Entity dff_a is port (clk, d : in bit; q : out bit); end dff_a; architecture body of dff_a is signal q1 : bit ; begin process (clk) begin if clk='0' AND clk’last_value='1' then q1 <= d; end if; q <= q1 ; end process; end architecture dff_a; 2.设计一个带有复位控制端和时钟使能控制端的10进制计数器。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT (CLK,RST,EN : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10; ARCHITECTURE behav OF CNT10 IS BEGIN PROCESS(CLK, RST, EN) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器复位 ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿 IF EN = '1' THEN --检测是否允许计数 IF CQI < "1001" THEN CQI := CQI + 1; --允许计数 ELSE CQI := (OTHERS =>'0');--大于9,计数值清零 END IF; END IF; END IF; IF CQI = "1001" THEN COUT <= '1'; --计数大于9,输出进位信号 ELSE COUT <= '0'; END IF;

相关文档
最新文档