通信技术综合实验报告

通信技术综合实验报告
通信技术综合实验报告

综合实验报告

( 2010-- 2011年度第二学期)

名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级:

学号:

学生姓名:

指导教师:

设计周数:两周

成绩:

日期:2011年 6 月

A C

B

D

S1

P1S1

P1

主用

备用

AC

AC

环形保护组网配置实验

一、实验的目的与要求

1、实验目的:

通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求:

在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。

1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。

2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。

3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。

4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。

二、实验正文

1.实验原理

单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示:

业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

当采用采用环状网组方式时,配置双纤单向保护,使用3个网元单元,并开通网元2(SDH2)到网元3(SDH3)两个节点间的2M业务。原理图如下:

设备单板连接图如下:

2.实验步骤

1、在Windows2000的桌面上双击快捷图标,成功启动Ebridge软件。

2、单击【确认】,进入主界面

3、选择登陆的SDH网元站点,选择传输模块。进行SDH1、SDH2、SDH3配置并生成执行配置命令。

4、点击【申请席位】,请求自动进行排队。

5、当终端占用操作席位后,即点击右下角【导入文本文件】,选择需要执行的文件,然后点击【打开】窗口,执行批处理。

6、选择好文件之后,用鼠标点击【点击批处理】,软件就自动执行命令。也可以用鼠标双击所要选中的指令,这样指令就会进入输入窗口,按回车逐条执行,直到命令执行结束。

7、如果配置成功,三台电话之间就可以进行互相通话,拨打999后,另外两台电话机都能振铃并且进行正常通话。

3.实验配置代码

#1:login:1,"nesoft";

:per-set-endtime:15m&24h,1990-0-0,0*0; //结束性能监视

:cfg-init; //初始化所有SDH所有系统

:cfg-set-nepara:nename="站点-1":device=sbs622:bp_type=type3:gne=true;//网元参数,gne管理网关

:cfg-create-lgcsys:sys1;//逻辑系统

:cfg-set-sysname:"sys1";

:cfg-create-board:9,gtc:11,sl1:12,sl4:15,stg:18,ohp2;//创建单板

:cfg-set-ohppara:tel1=101:meet=999:reqt=8:dial=dtmf:rax=sys1;//公务电话参数

:cfg-set-stgpara:sync=intr:syncclass=intr;//时钟

:cfg-set-attrib:155:2f:uni:pp:adm:ring;//逻辑子系统

:cfg-set-gutumap:gw1,11,sl1,0;//光路板逻辑设备的映射关系

:cfg-set-gutumap:ge1,12,sl4,0;

//工作方式

:cfg-set-gtcpara:work_mode=main;

//交叉板与逻辑子系统的映射xlwork 主用

:cfg-set-xcmap:xlwork,9,gtc;

//SDH初始化

:cfg-init-slot;

//线路到线路的业务配置

:cfg-create-vc12:sys1,gw1,1&&8,sys1,ge1,1&&8;

//校验配置

:cfg-checkout;

//查询网元工作状态

:cfg-get-nestate;

#2:login:1,"nesoft";

:per-set-endtime:15m&24h,1990-0-0,0*0;

:cfg-init;

:cfg-set-nepara:nename="站点-2":device=sbs155a:gne=false;

:cfg-create-lgcsys:sys1;

:cfg-set-sysname:"sys1";

:cfg-create-board:3,sp1d:4,et1:9,x42:11,oi2d:15,stg:18,ohp2; :cfg-set-ohppara:tel1=102:meet=999:reqt=8:dial=dtmf:rax=sys1; :cfg-set-stgpara:sync=w1s8k:syncclass=w1s8k&intr;

:cfg-set-attrib:155:2f:uni:pp:adm:ring;

:cfg-set-gutumap:gw1,11,oi2d,2;

//8个E1电接口板

:cfg-set-gutumap:t3,3,sp1d,0;

//oi2d提供两路stm-1光接口,只需用一个口即可

:cfg-set-gutumap:ge1,11,oi2d,1;

//支路板参数设定

:cfg-set-tupara:tu3,1&&8,p;

:cfg-set-xcmap:xlwork,9,x42;

:cfg-init-slot;

//线路到支路

:cfg-create-vc12:sys1,gw1,1&&8,sys1,t3,1&&8;

//支路到线路

:cfg-create-vc12:sys1,t3,1&&8,sys1,ge1,1&&8;

:cfg-checkout;

:cfg-get-nestate;

#3:login:1,"nesoft";

:per-set-endtime:15m&24h,1990-0-0,0*0;

:cfg-init;

:cfg-set-nepara:nename="站点-3":device=sbs155a:gne=false;

:cfg-create-lgcsys:sys1;

:cfg-set-sysname:"sys1";

:cfg-create-board:3,sp1d:4,et1:9,x42:11,oi4:12,oi2s:15,stg:18,ohp2;

:cfg-set-ohppara:tel1=103:meet=999:reqt=8:dial=dtmf:rax=sys1;

:cfg-set-stgpara:sync=e1s8k:syncclass=e1s8k&intr;

:cfg-set-gutumap:gw1,11,oi4,0;

:cfg-set-gutumap:ge1,12,oi2s,0;

:cfg-set-gutumap:t3,3,sp1d,0;

:cfg-set-tupara:tu3,1&&8,p;

:cfg-set-xcmap:xlwork,9,x42;

:cfg-set-attrib:155:2f:uni:pp:adm:ring;

:cfg-init-slot;

:cfg-create-vc12:sys1,gw1,1&&8,sys1,t3,1&&8;

:cfg-create-vc12:sys1,t3,1&&8,sys1,ge1,1&&8;

:cfg-checkout;

:cfg-get-nestate;

三、实验结果及分析

在SDH1上分别拨打102和103,SDH2上拨打101和103,SDH3拨打101和102,电话能够振铃,并且进行正常通信。三个电话分别拨打会议电话999,另外两个电话能够响,并且进行正常通信。

2Mb/s的电信号业务经过电接口进入到SDH设备后,映射到VC-12中,因为只用提供2Mb/s 的电信号业务,故只需使用一个VC-12的虚容器就行。

因为这个实验中已经设置了主用和备用环,所以,当主环方向上的线路出现问题时,由于设置了保护,线路会很快倒换到备用环上,对线路数据的传输影响不是很大。本来这个影响的指标应该可以通过拔纤测误码率的,但是因为光纤在这种情况下工作会影响寿命,所以就只能从理论上推测,误码率会在拔纤以后有所增加,但是,这段时间应该是很短的。

四、思考题

1.详述2M信号在正常情况下、实验中的断纤情况下的传输过程

答:正常情况下,信号从S1经ADC和从P1经ABC分别由A传到C,接收端C通过两路信号的优劣决定决定选用其中一路信号。当S1断纤时,倒换开关将由S1光纤转向P1光纤,接收由A节点经P1光纤而来的信号作为分路信号。

2.简述单向通道保护环的保护原理

答:二纤单向通道保护环有一根主用光线S1和一根备用光线P1,当主用光线发生故障时,倒换开关会自动转到备用光线接受信号,保证信号的正常传输。

SDH以太网接口ET1配置实验

一、实验的目的与要求

1、实验目的:

通过本实验了解ET1(以太网接口板)的配置和工作方式。

2、实验要求

1)本实验采用环网组网方式,要求在实验前画出组网光纤连接图及ODF光纤配线架的连接图。

2)本实验采用PORT路由,点对点透传方式,做本实验之前,应对SDH的原理、命令行有比较深刻的了解。

3)要求对TCP/IP、IP OVER SDH技术有较深刻的了解,编写命令行实现ET1业务的接入配置。

4)在实验平台进行验证,并进行测试业务是否配置成功,写出测试的方法。

二、实验正文

1.实验原理

用SDH光传输网络来传输IP信号是近年来通信网络MSTP传输技术发展在实际中的最新具体应用,是IP OVER SDH技术的具体表现。

ET1单板是为用户提供以太网业务接入的一种接口板,为用户提供8*10M/100M标准的以太网接口,可以将接入的以太网业务根据用户配置分别收敛到N个标准的E1信号中,再映射进VC12,通过SDH设备传输到远方,在远端由ET1单板接收处理后,恢复出用户的以太网业务。

ET1单板采用了技术比较成熟的ML-PPP协议,即将多个物理通道捆绑成一个逻辑通道(MP)来进行业务的传输,解决了多径传输的问题。在传输侧采用SDH保护方式为用户提供可靠的传输通道。由于ET1单板接入的以太网业务被映射发到VC12中,因此可以穿越任何厂家的标准SDH网络。

ET1单板支持对TAG标签进行识别、添加、删除,ET1单板通过对以太网口TAG属性的配置,可灵活实验VLAN功能。

ET1的静态路由是ET1的核心部分,整个以太网信号的传送会和路由的寻找时通过这个静态路由来进行的。静态路由包括两种形式:Port路由和vlan路由,此次实验室用Port 路由。

Port路由即是一条透明的传输路由,从整个以太网信号进入到最后的出去ET1只是简单的进行了切割和组合,并没有增加任何其他的东西,在寻址的过程中,只是通过IP端口寻找MP端口和通过MP端口寻找IP端口。

其实现的原理图如下:

实物设备单板连接图:

2.实验步骤

实验步骤同上面的SDH环网配置,另外,为了测试以太网是否能够进行正常,分别在SDH2和SDH3的电脑上进行ping命令,检测是否能够ping通。

3.实验配置代码

#1:login:1,"nesoft";

:per-set-endtime:15m&24h,1990-0-0,0*0;

:cfg-init;

:cfg-set-nepara:nename="sdh1":device=sbs622:bp_type=type3:gne=false; :cfg-create-lgcsys:sys1;

:cfg-set-sysname:"sys1";

:cfg-create-board:8,et1:9,gtc:11,sl1:12,sl4:15,stg:18,ohp2;

:cfg-set-gtcpara:work_mode=main;

:cfg-set-ohppara:tel1=101:meet=999:reqt=5:dial=dtmf:rax=sys1;

:cfg-set-stgpara:sync=w1s8k:syncclass=w1s8k&intr;

:cfg-set-gutumap:ge1,11,sl1,0;

:cfg-set-gutumap:gw1,12,sl4,0;

:cfg-set-xcmap:xlwork,9,gtc;

:cfg-set-attrib:155:2f:uni:pp:adm:ring;

:cfg-init-slot;

:cfg-create-vc12:sys1,gw1,1&&5,sys1,ge1,1&&40;

:cfg-checkout;

:cfg-get-nestate;

#2:login:1,"nesoft";

:per-set-endtime:15m&24h,1990-0-0,0*0;

:cfg-init;

:cfg-set-nepara:nename="sdh2":device=sbs155a:gne=true;

:cfg-create-lgcsys:sys1;

:cfg-set-sysname:"sys1";

//创建板位

:cfg-create-board:4,et1:9,x42:11,oi2d:15,stg:18,ohp2;

//配置电话号码

:cfg-set-ohppara:tel1=102:meet=999:reqt=5:dial=dtmf:rax=sys1;

//配置时钟等级

:cfg-set-stgpara:sync=intr:syncclass=intr;

//配置逻辑设备属性

:cfg-set-attrib:155:2f:uni:pp:adm:ring;

//逻辑设备到物理设备的映射

:cfg-set-gutumap:t4,4,et1,0;

:cfg-set-gutumap:gw1,11,oi2d,1;

:cfg-set-gutumap:ge1,11,oi2d,2;

//配置支路板及属性

:cfg-set-tupara:tu4,1&&5,p;

//交叉板与逻辑子系统的映射xlwork 主用

:cfg-set-xcmap:xlwork,9,x42;

:cfg-init-slot;

//线路和支路的业务配置

:cfg-create-vc12:sys1,gw1,1&&5,sys1,t4,1&&5;

:cfg-create-vc12:sys1,t4,1&&5,sys1,ge1,1&&5;

//155a-ET1物理端口

:cfg-set-ethport:4,1&&8,1,10mfull;

//155a-虚拟MP绑定通道

:cfg-create-mp:4,1,5,1&&5;

//155a-IP属性

:cfg-set-ethtag:4,ip4,untag;

//155a-MP属性

:cfg-set-ethtag:4,mp4,tag;

//以太网业务的静态路由

:cfg-create-route:1,port,bi,4,ipport,4,0,4,mpport,4,0;

:cfg-checkout;

:cfg-get-nestate;

#3:login:1,"nesoft";

:per-set-endtime:15m&24h,1990-0-0,0*0;

:cfg-init;

:cfg-set-nepara:nename="sdh3":device=sbs155a:gne=false;

:cfg-create-lgcsys:sys1;

:cfg-set-sysname:"sys1";

//创建单板

:cfg-create-board:4,et1:9,x42:11,oi4:12,oi2s:15,stg:18,ohp2; //配置电话号码

:cfg-set-ohppara:tel1=103:meet=999:reqt=5:dial=dtmf:rax=sys1; //配置时钟等级

:cfg-set-stgpara:sync=e1s8k:syncclass=e1s8k&intr;

//逻辑设备到物理设备的映射

:cfg-set-gutumap:t4,4,et1,0;

:cfg-set-gutumap:gw1,12,oi2s,0;

:cfg-set-gutumap:ge1,11,oi4,0;

//配置支路板及属性

:cfg-set-tupara:tu4,1&&5,p;

:cfg-set-xcmap:xlwork,9,x42;

//配置逻辑设备属性

:cfg-set-attrib:155:2f:uni:pp:adm:ring;

:cfg-init-slot;

//线路和支路的业务配置

:cfg-create-vc12:sys1,gw1,1&&5,sys1,t4,1&&5;

:cfg-create-vc12:sys1,t4,1&&5,sys1,ge1,1&&5;

//155a-ET1物理端口

:cfg-set-ethport:4,1,1,10mfull;

//155a-MP绑定

:cfg-create-mp:4,1,5,1&&5;

//155a-IP属性

:cfg-set-ethtag:4,ip4,untag;

//155a-MP属性

:cfg-set-ethtag:4,mp4,tag;

//路由配置

:cfg-create-route:1,port,bi,4,ipport,4,0,4,mpport,4,0;

:cfg-checkout;

:cfg-get-nestate;

三、实验结果及分析

3.1实验结果

在SDH1上分别拨打102和103,SDH2上拨打101和103,SDH3拨打101和102,电话能够振铃,并且进行正常通信。三个电话分别拨打会议电话999,另外两个电话能够响,并且WS2能够进行正常的ping命令,实验结果图:

WS3能够进行正常的ping命令,实验结果图:

可以发现,本实验采用PORT路由,在环状网络结构下,开通了网元1、网元2间的10M 以太网业务,在WS2打开命令窗口后输入ping 129.9.0.13 显示连接上,再在WS3中命令窗口输入ping 129.9.0.12,显示连接正常,此时WS2、WS3之间建立了正常的连接.

3.2实验分析

SDH1做为ADM使用,网络拓扑结构放在SDH2、SDH3之间。这个实验因为是在环网的基础上实现了10Mb/s以太网业务,但是因其在SDH上的完成的功能和环网中的功能是相同的,

所以,对于以太网业务中的SDH1的配置和在2Mb/s的环网中配置基本类似。SDH2和SDH3都得增加ET1物理端口的配置和MP绑定通道的设置,相应的还有IP端口属性和MP端口属性,以及静态路由的配置。

ET1业务的传输流程:10M的以太网业务传输到SDH2/3上的1个10M标准的以太网接口后,然后经过接口转换,将其分割成5个VC-12信号(也就相当于5个2M),然后进行绑定到一个MP中,在SDH中进行传输,从而实现IP OVER SDH的功能。

对于时钟配置,因为是主从同步,并且确定为“东发西收”模式,因此,只要主环方向和主时钟确定后,另外两个SDH的时钟都以这个时钟为准,一个是西向时钟,另一个就是东向时钟。至于是东向还是西向,就看在主环方向上,主时钟是作为收端(西向),还是发端(东向)。

因为一个MP就能提供10M/s的业务传输,所以,在配置的过程中只用配置一个MP就行,但是因为此次实验用到的是4号端口所以得用MP4,IP端口也因为同样的原因只能配置IP4.

四、思考题

1.如何理解MP的功能?

答:一个逻辑通道(MP)由多个物理通道(VC12)捆绑而成,这种传输业务的方式解决了多径传输的问题。在传输侧采用SDH保护方式,为用户提供可靠的传输通道。

2.如何在单向通道保护环中实现以太网业务?

答:通过配置SDH上的ET1板将ET1业务进行接收,然后通过多个VC-12装配到一个MP中,实现单向通道保护环下的以太网业务。

五、实验心得体会

本次实验主要实现的是环型网络的业务传送,和点对点业务向相比,此次实验采用了双纤单向环网保护,当主环方向有线路出问题时,可以自动倒换到备用环,这样使得业务的传输更为可靠。

在实验过程中也出现了不少问题,其中有一个就是,对于实验中用不到的单板如果配置了,是很容易卡住的,不知道是不是仅仅因为后续没有使用,所以会容易卡住。

另外还有一点就是,“东发西收”的模式能否设为“西发东收”,照理论上说,既然备环能够使用,那这时的接口没变,是否意味着此时就是“西发东收”。

因为实验申请席位太困难,所以也没能将上述问题得到验证,希望学校将来能提供多一点的机会,能够真正的做到“在试验中学到理论中学不到的东西”,这样才能体现实验的真正意义。

雷达技术实验报告

雷达技术实验报告 雷达技术实验报告 专业班级: 姓名: 学号:

一、实验内容及步骤 1.产生仿真发射信号:雷达发射调频脉冲信号,IQ两路; 2.观察信号的波形,及在时域和频域的包络、相位; 3.产生回波数据:设目标距离为R=0、5000m; 4.建立匹配滤波器,对回波进行匹配滤波; 5.分析滤波之后的结果。 二、实验环境 matlab 三、实验参数 脉冲宽度 T=10e-6; 信号带宽 B=30e6; 调频率γ=B/T; 采样频率 Fs=2*B; 采样周期 Ts=1/Fs; 采样点数 N=T/Ts; 匹配滤波器h(t)=S t*(-t) 时域卷积conv ,频域相乘fft, t=linspace(T1,T2,N); 四、实验原理 1、匹配滤波器原理: 在输入为确知加白噪声的情况下,所得输出信噪比最大的线性滤波器就是匹配滤波器,设一线性滤波器的输入信号为) x: (t t x+ = t s n )( )( )(t 其中:)(t s为确知信号,)(t n为均值为零的平稳白噪声,其功率谱密度为 No。 2/

设线性滤波器系统的冲击响应为)(t h ,其频率响应为)(ωH ,其输出响应: )()()(t n t s t y o o += 输入信号能量: ∞<=?∞ ∞-dt t s s E )()(2 输入、输出信号频谱函数: dt e t s S t j ?∞ ∞--=ωω)()( )()()(ωωωS H S o = ωωωπωω d e S H t s t j o ?∞ -= )()(21)( 输出噪声的平均功率: ωωωπωωπd P H d P t n E n n o o ??∞∞ -∞∞-== )()(21)(21)]([22 ) ()()(21 )()(21 2 2 ωωωπ ωωπ ω ωd P H d e S H S N R n t j o o ? ? ∞ ∞ -∞ ∞-= 利用Schwarz 不等式得: ωωωπd P S S N R n o ? ∞ ∞ -≤) () (21 2 上式取等号时,滤波器输出功率信噪比o SNR 最大取等号条件: o t j n e P S H ωωωαω-=) ()()(* 当滤波器输入功率谱密度是2/)(o n N P =ω的白噪声时,MF 的系统函数为: ,)()(*o t j e kS H ωωω-=o N k α2= k 为常数1,)(*ωS 为输入函数频谱的复共轭,)()(*ωω-=S S ,也是滤波器的传输函数 )(ωH 。

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

网络互联技术实验报告

网络互联实验报告 作者:xx通信工程(1)班第二组 组长:xx 组员:xxx、xxx、xxx、xxx、xxx、xxx、xxx、xxx、xx、xx 计算机与信息学院 2011年12月

目录 实验二:路由器与交换机配置技术 (3) 一、配置交换机设备 (3) 二、配置路由器设备 (5) 实验四:生成树与以太网链路聚合 (8) 配置端口聚合提供冗余备份链路 (8) 实验六:交换机端口安全与访问控制列表 (14) 一、配置标准访问控制网络流量 (14) 二、配置扩展访问列表保护服务器安全 (19) 三、配置命令ACL保护办公网安全 (24) 实验七:无线网络技术 (29) 一、安装无线网卡 (29) 二、组建Ad-Hoc模式无线局域网 (30) 三、组建Infrastructure无线局域网 (37) 四、计算机科学技术学院无线项目施工 (45)

实验二:路由器与交换机配置技术 (xxx xxx xxx) 一、路由器的配置 【实验目的】 掌握路由器命令,理解路由器各种不同工作模式之间的切换技术【实验设备】 路由器设备(1台)、配置主机(1台)、配置线(1条) 【实验拓扑】 【实验步骤】 (1)路由器命令行操作模式的进入 Red-Giant>enable !进入特权模式 Red-Giant# Red-Giant#configure terminal !进入全局配置模式 Red-Giant(config)# Red-Giant(config)#interface fastethernet 1/0 !进入路由器F1/0接口模式Red-Giant(config-if) Red-Giant(config-if)#exit !退回上一级操作模式 Red-Giant(config)# Red-Giant(config-if)#end !直接退回特权模式 Red-Giant#

数字信号处理期末综合实验报告

数字信号处理综合实验报告 实验题目:基于Matlab的语音信号去噪及仿真 专业名称: 学号: 姓名: 日期: 报告内容: 一、实验原理 1、去噪的原理 1.1 采样定理 在进行模拟/数字信号的转换过程中,当采样频率fs.max大于信号中,最高频率fmax的2倍时,即:fs.max>=2fmax,则采样之后的数字信号完整地保留了原始信号中的信息,一般实际应用中保证采样频率为信号最高频率的5~10倍;采样定理又称奈奎斯特定理。1924年奈奎斯特(Nyquist)就推导出在理想低通信道的最高大码元传输速率的公式: 理想低通信道的最高大码元传输速率=2W*log2 N (其中W是理想低通信道的带宽,N是电平强度)为什么把采样频率设为8kHz?在数字通信中,根据采样定理, 最小采样频率为语音信号最高频率的

2倍 频带为F的连续信号f(t)可用一系列离散的采样值f(t1),f(t1±Δt),f(t1±2Δt),...来表示,只要这些采样点的时间间隔Δt≤1/2F,便可根据各采样值完全恢复原来的信号f(t)。这是时域采样定理的一种表述方式。 时域采样定理的另一种表述方式是:当时间信号函数f(t)的最高频率分量为fM时,f(t)的值可由一系列采样间隔小于或等于1/2fM的采样值来确定,即采样点的重复频率f≥2fM。图为模拟信号和采样样本的示意图。 时域采样定理是采样误差理论、随机变量采样理论和多变量采样理论的基础。对于时间上受限制的连续信号f(t)(即当│t│>T时,f(t)=0,这里T=T2-T1是信号的持续时间),若其频谱为F(ω),则可在频域上用一系列离散的采样值 (1-1) 采样值来表示,只要这些采样点的频率间隔 (1-2) 。 1.2 采样频率 采样频率,也称为采样速度或者采样率,定义了每秒从连续信号中提取并组成离散信号的采样个数,它用赫兹(Hz)来表示。采样频率的倒数是采样周期或者叫作采样时间,它是采样之间的时间间隔。通俗的讲采样频率是指计算

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

数字通信技术实验指导讲解

数字通信技术 实验指导书 电子与信息工程学院 2015年6月

实验一分组交织编码的MATLAB实现 1 实验目的 1、掌握分组交织编码的原理; 2、进一步学习Matlab软件的使用和编程; 3、提高独立设计实验的能力。 2 实验要求 1、课前预习实验,实验原理必须论述清楚; 2、实验报告中列出所有的Matlab源程序并解释代码; 3、实验结果(波形图)必须粘贴在实验报告中; 4、实验报告上写上自己的学号和姓名。 3 实验代码与结果 1、长度≤N的长突发错误通过解交织被离散为随机错误,错误码元之间的最小间隔为M。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) %交织器的输入按列写入 x1(2,4)=0;x1(2,5)=0; x1(2,6)=0;x1(3,1)=0;x1(3,2)=0 %产生长度为5的长突发错误 s2=reshape(x1',1,24) %交织器的输出按行读出 x2=reshape(s2,6,4)' %解交织器的输入按行写入 s3=reshape(x2,1,24) %解交织器的输出按列读出 s3(1,3)=3;s3(1,7)=7; s3(1,14)=14;s3(1,18)=18;s3(1,22)=22 %通过分组码纠正随机错误 a=[s1,s2,s3] %对比三个输出 plot(s1,s2)

2、对于周期性的单个错误(间隔为N),通过解交织后会转化为长度为M的单个长突发错误。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) x1(1,3)=0;x1(2,3)=0; x1(3,3)=0;x1(4,3)=0 s2=reshape(x1',1,24) x2=reshape(s2,6,4)' s3=reshape(x2,1,24) a=[s1,s2,s3] plot(s1,s2)

综合实验报告

湖南科技大学测控技术和仪器专业 专业综合实验报告 班级 09测控三班 姓名 学号 指导老师付国红王启明 成绩 湖南科技大学机电工程学院 二〇一三年一月五日 目录 一、液压泵站综合控制实验 (3) (一)实验目的 (3) (二)实验内容 (3) 二、液压实验台PLC控制实验 (4) (一)实验目的 (4) (二)实验内容 (4) 三、物探仪器综合设计(①地震超前探测仪)................................. .... . (5) (一)实验目的 (5) (二)实验内容 (5) 四、物探仪器综合设计(②电法勘探仪器)............................ ........... .. (6) (一)实验目的 (6)

(二)实验内容 (6) 五、实验心得................................................................................... ..... .. (7) 一、液压泵站综合控制实验 (一)实验目的 了解液压控制的装置,熟悉PLC编程,并且了解PLC控制在工业领域的发展。理解液压装置的原理并且用于实践生活中去。 (二)实验内容 此实验是液压的测量实验用PLC处理器控制来实现,液压PLC综合控制实验室是我公司根据高校机电一体化对气、电、液控制的教学大纲要求,在我公司专利产品YY-18透明液压传动演示系统的基础上,综合了我公司气动PLC和液压PLC控制实验设备的优点,采用了开放型综合实验台结构,广泛征求专家教授和老师的意见,经不断创新改进研制而成的。是目前集气动控制技术、液压传动控制技术以及PLC可编程序控制器控制技术于一体的理想的综合性实验设备。实验时,它们可以相互辅成,交叉控制。可以让学生直观、感性地对比、了解气、电、液各自具有的特点、特色、及优缺点等。信号采集电路原理设计: (1) 前置放大电路要求有阻抗匹配设计(前置放大器采用集成运放OP07、 采用电压负反馈设计、增益为10、50两档手动设计) (2) 主放大器采用级联组合程控放大、增益动态范围为10至1500倍之内。 (增益程档位要求有30至40梯度之内,具体每档增益值不做具体要求 但要求梯度增益呈线性) (3) 主放大器末端输出值(Up-p)设计为5v,如有溢出则在设计说明中明。 (4) 调理电路中要有工频滤波器设计。 液压实验元件均为透明有机材料制成,透明直观。便于了解掌握几十种常用液压元件的结构、性能及用途。掌握几十种基本实验回路的工作过程及原理。实验时,组装实验回路快捷、方便。同时,配备独立的继电器控制单元进行电气控制,简单实用。通过和PLC比较,,可以加深对PLC可编程序控制器的了解及掌握。 本实验系统采用专用独立液压实验泵站,配直流电机无级调速系统,而且电机速度控制系统内部具有安全限速功能,可以对输出的最高速度进行限制。同时配有数字式高精度转速表,实时测量泵电机组的转速。并且配有油路压力调定功能,可以调定输出压力油的安全工作压力。泵站配有多路压力油输出及回油,可同时对多路液压回路进行供油回油。并采用闭锁式快速接头,以利于快速接通或封闭油路。实现油箱、油泵、直流

网络通信实验报告

网络通信程序设计 实验报告 姓名: 学号: 专业:计算机科学与技术 授课教师:贺刚 完成日期: 2020.5.27

实验一:TCP套接字编程 内容: 1、利用阻塞模型的开发TCP通信客户端程序。 2、在程序中必须处理粘连包和残缺包问题。 3、自定义应用层协议。 4、采用多线程开发技术。 实验代码: 服务器端: #include "iostream.h" #include "initsock.h" #include "vector" using namespace std; CInitSock initSock; // 初始化Winsock库 DWORD WINAPI ThreadProc(LPVOID lpParam); vector sClientVector; int main() { //1 创建套节字 SOCKET sListen = ::socket(AF_INET, SOCK_STREAM, 0); if(sListen == INVALID_SOCKET) { cout<<"Failed socket() "<

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

计算机控制技术实验报告

精品文档

精品文档 实验一过程通道和数据采集处理 为了实现计算机对生产过程或现场对象的控制,需要将对象的各种测量参数按 要求转换成数字信号送入计算机;经计算机运算、处理后,再转换成适合于对生产 过程进行控制的量。所以在微机和生产过程之间,必须设置信息的传递和变换的连 接通道,该通道称为过程通道。它包括模拟量输入通道、模拟量输出通道、数字量 输入通道、数字量输出通道。 模拟量输入通道:主要功能是将随时间连续变化的模拟输入信号变换成数字信 号送入计算机,主要有多路转化器、采样保持器和 A/D 转换器等组成。模拟量输出通道:它将计算机输出的数字信号转换为连续的电压或电流信 号,主要有 D/A 转换器和输出保持器组成。 数字量输入通道:控制系统中,以电平高低和开关通断等两位状态表示的 信号称为数字量,这些数据可以作为设备的状态送往计算机。 数字量输出通道:有的执行机构需要开关量控制信号 ( 如步进电机 ) ,计算机 可以通过 I/O 接口电路或者继电器的断开和闭合来控制。 输入与输出通道 本实验教程主要介绍以 A/D 和 D/A 为主的模拟量输入输出通道, A/D 和D/A的 芯片非常多,这里主要介绍人们最常用的 ADC0809和 TLC7528。 一、实验目的 1.学习 A/D 转换器原理及接口方法,并掌握ADC0809芯片的使用 2.学习 D/A 转换器原理及接口方法,并掌握TLC7528 芯片的使用 二、实验内容 1.编写实验程序,将- 5V ~ +5V 的电压作为 ADC0809的模拟量输入,将 转换所得的 8 位数字量保存于变量中。 2.编写实验程序,实现 D/A 转换产生周期性三角波,并用示波器观察波形。 三、实验设备 + PC 机一台, TD-ACC实验系统一套, i386EX 系统板一块 四、实验原理与步骤 1.A/D 转换实验 ADC0809芯片主要包括多路模拟开关和 A/D 转换器两部分,其主要特点为:单 电源供电、工作时钟 CLOCK最高可达到 1200KHz 、8 位分辨率, 8 +个单端模拟输 入端, TTL 电平兼容等,可以很方便地和微处理器接口。 TD-ACC教学系统中的 ADC0809芯片,其输出八位数据线以及 CLOCK线已连到控制计算机的数据线及系统应用时钟1MCLK(1MHz) 上。其它控制线根据实验要求可另外连接(A 、B、C、STR、/OE、EOC、IN0~ IN7) 。根据实验内容的第一项要求,可以设计出如图 1.1-1 所示 的实验线路图。

通信工程综合实验报告

通信工程专业综合实验实验报 (计算机网络部分)姓名: 学号: 班级: 指导教师:

实验一路由器基本操作 一、实验内容 1、通过Console 方式对路由器或交换机进行管理操作。 2、完成Telnet 方式对路由器或交换机访问操作。 3、利用tftp server 实现计算机和设备(交换机和路由器)之间的数据备份。 二、实验组网图 三、实验步骤 1用每台PC提供的Console连线和网线,选择一台路由器或者交换机连接好。 2、网线连接时,注意选择正确的接口(区分两种不同的以太网接口)。 3、按照实验指导书完成各项试验内容。 4、完成试验后,备份你试验中形成的配置文件,用U盘考走,用于写试验报告。 四、路由器的配置文件内 容 # version , Release 1809P01 # sysname H3C % # super password level 3 simple test 码为test 明文 # domain default enable system # telnet server enable 更改系统名为H3C % 用户级别切换到level 3 的密% 域名系统默认启用 %telnet 服务启用 #

dar p2p signature-file flash:/ # port-security enable # vlan 1 domain system access-limit disable state active idle-cut disable self-service-url disable 端口安全启用虚拟局域网 1 默认系统配置 user-group system # local-user admin password cipher .]@USE=B,53Q=AQ'MAF4<1!! authorization-attribute level 3 % service-type telnet % local-user test % password cipher =W6JJ'N_LBKQ=A Q'MAF4<1!! % service-type telnet # interface Aux0 用户群系统 本地用户admin 密码显示为密文显示设置权限为level 3 服务方式为远程登录本地用户名改为test 密码显示为密文显示服务方式为远程登录 设置Aux0 async mode flow link-protocol ppp # interface Cellular0/0 配置Cellular0/0 async mode protocol link-protocol ppp # interface Ethernet0/0 配置Ethernet0/0 port link-mode route ip address %ip # 地址为24 interface Serial0/0 link-protocol ppp # interface NULL0 interface Vlan- interface1 ip address # 设置ip 及掩码interface Ethernet0/1 port link-mode bridge

虚拟仪器技术实验报告

成都理工大学工程技术学院 虚拟仪器技术实验报告 专业: 学号: 姓名: 2015年11月30日

1 正弦信号的发生及频率、相位的测量实验内容: ●设计一个双路正弦波发生器,其相位差可调。 ●设计一个频率计 ●设计一个相位计 分两种情况测量频率和相位: ●不经过数据采集的仿真 ●经过数据采集〔数据采集卡为PCI9112〕 频率和相位的测量至少有两种方法 ●FFT及其他信号处理方法 ●直接方法 实验过程: 1、正弦波发生器,相位差可调 双路正弦波发生器设计程序:

相位差的设计方法:可以令正弦2的相位为0,正弦1的相位可调,这样调节正弦1的相位,即为两正弦波的相位差。 2设计频率计、相位计 方法一:直接读取 从调节旋钮处直接读取数值,再显示出来。 方法二:直接测量 使用单频测量模块进行频率、相位的测量。方法为将模块直接接到输出信号的端子,即可读取测量值。 方法三:利用FFT进行频率和相位的测量 在频率谱和相位谱上可以直接读取正弦信号的主频和相位。 也可通过FFT求得两正弦波的相位差。即对信号进行频谱分析,获得信号的想频特性,两信号的相位差即主频率处的相位差值,所以这一方法是针对单一频率信号的相位差。 前面板如下:

程序框图: 2幅频特性的扫频测量 一、实验目的 1、掌握BT3 D扫频仪的使用方法。 2、学会用扫频法测量放大电路的幅频特性、增益及带宽。 二、工作原理 放大电路的幅频特性,一般在中频段K中最大,而且基本上不随频率而变化。在中频段以外随着频率的升高或降低,放大倍数都将随之下降。一般规定放大电路的频率响应指标为3dB,即放大倍数下降到中频放大倍数的70.7%,相应的频率分别叫作下限频率和上限频率。上下限频率之间的频率范围称为放大电路的通频带,它是表征放大电路频率特性的主要指标之一。如果放大电路的性能很差,在放大电路工作频带内的放大倍数变化很大,则会产生严重的频率失真,相应的

宽带通信网综合实验报告

《宽带通信网综合实验报告》 组员:XX 组员:XX 学院:通信工程学院

FTTx实验 【实验步骤和结果】 1、根据图13所示,搭建系统,其中三台ONU接计算机终端,还有一台ONU 接IPTV机顶盒。用ping命令检查接入系统是否可以连通?如果不能连通,请分析原因。如果可以连通,使用tracert命令检查路由,并给出HTTx的路由信息。 图1(ping) 图2(tracert) 2、用ipconfig检查接入终端的IP地址和网关,记录下来,并与LAN接入的地 址相比较,它们有什么不同?原因是什么? 经比较发现,两个地址的网段不同。

图3为ipconfig命令 图4为LAN接入地址 3、用telnet远程登录R4101路由器,记录有关光接口的配置信息。 ESR实验 【实验步骤和结果】 1、搭建系统,将三台S2016交换机组成一个ESR环,确定主节点为S2016(1),从节点 为S2016(2)和S2016(3)。

(1)先配置主交换机: (2)进入ESR配置模式,并将该交换机配置成主站: (3)置ESR环所用接口和VLAN,并使能该ESR: (4)配置从交换机: 先对S2016(2)进行配置:

步骤同上,对S2016(3)进行相同配置。 (5)使用ping 192.168.6.254命令查看网络,网络连通成功。 3、人为切断ESR环路,由于前面对主、从交换机的成功配置,使得ESR域的master node 控制其第二接口的阻塞实现了保护倒换功能。系统正常运行。

WLAN实验 【实验步骤和结果】 1、按照上面介绍的无线AP和连接计算机的配置方法进行配置,配置完成后, 用无线网卡接入(注意输入密钥),连接后,使用ping 192.168.0.1命令查看网络是否连通?如果网络连通,使用ipconfig命令查看连接计算机的IP地址、网关以及DNS,记录相关信息。使用tracert 192.168.0.1命令查看路由,并分析该路由。 图1 (配置界面图)

计算机网络技术实验报告

重庆交通大学 学生实验报告 实验课程名称《计算机网络技术》课程实验 开课实验室软件与通信实验中心 学院国际学院年级2012 专业班(1)班 学生姓名吴双彪学号6312260030115 开课时间2014 至2015 学年第二学期 实验2简单的局域网配置与资源共享 实验目的: 1、掌握将两台PC联网的技能与方法 2、掌握将几台PC连接成LAN的技能与方法 3、掌握局域网内资源共享的技能与方法 实验内容和要求: 1、选用百兆交换机连接PC若干台; 2、在上述两种情况下分别为PC配置TCP/IP协议,使他们实现互联和资源共享实验环境:(画出实验网络拓图) 实验步骤: 1、选择两台计算机; 选PC0与PC1. 2、设置两台计算机IP地址为C类内部地址; 两台PC机的IP分别设置为:、202.202.242.47、202.202.243.48; 两台PC机的掩码分别设置为:、255.255.255.0、255.255.255.0; 3、用一台计算机Ping另一台计算机,是否能Ping通?

4、我的电脑→工具→文件夹选项→查看→去掉“使用简单文件共享(推荐)”前 的勾;设置共享文件夹。 5、控制面板→管理工具→本地安全策略→本地策略→安全选项里,把“网络访 问:本地帐户的共享和安全模式”设为“仅来宾-本地用户以来宾的身份验证” (可选,此项设置可去除访问时要求输入密码的对话框,也可视情况设为“经典-本地用户以自己的身份验证”); 6、通过网络邻居或在运行窗口输入“\\对方IP地址”实现资源共享。 1)指定IP地址,连通网络 A.设置IP地址 在保留专用IP地址范围中(192.168.X.X),任选IP地址指定给主机。 注意:同一实验分组的主机IP地址的网络ID应相同 ..。 ..,主机ID应不同 ..,子网掩码需相同B.测试网络连通性 (1)用PING 命令PING 127.0.0.0 –t,检测本机网卡连通性。 解决方法:检查网线是否连接好,或者网卡是否完好 (2)分别“ping”同一实验组的计算机名;“ping”同一实验组的计算机IP地址,并记录结 果。答:能。结果同步骤3 (3)接在同一交换机上的不同实验分组的计算机,从“网上邻居”中能看到吗?能ping通 吗?记录结果。 2) 自动获取IP地址,连通网络 Windows主机能从微软专用B类保留地址(网络ID为169.254)中自动获取IP地址。 A.设置IP地址 把指定IP地址改为“自动获取IP地址”。 B.在DOS命令提示符下键入“ipconfig”,查看本机自动获取的IP地址,并记录结果。 C.测试网络的连通性 1.在“网上邻居”中察看能找到哪些主机,并记录结果。 2.在命令提示符下试试能“ping”通哪些主机,并记录结果。 答:能ping通的主机有KOREYOSHI ,WSB ,ST ,LBO ,CL 。思考并回答 测试两台PC机连通性时有哪些方法? 实验小结:(要求写出实验中的体会)

通信技术实训报告

南昌工程学院 《通信技术》实训报告 系院信息工程学院 专业通信工程 班级 学生姓名 学号 实习地点 指导教师 实习起止时间:2014 年 6 月9 日至2014 年6 月20 日

目录 一、实训时间 (3) 二、实训地点 (3) 三、实训目的 (3) 四、实训情况简介 (3) 五、实训内容 (4) 六、实训小结或体会 (10)

一、实训时间:从2014 年6 月9 日至2014年6 月20 日 二、实训地点: 三、实训目的 通过本实训了解2M业务在点对点组网方式时候的配置。通过本实训了解2M业务在链型组网方式时候的配置。通过本实训了解2M业务在环形组网方式时候的配置。通过本次实训了解MGW及MSCS数据配置。 SDH技术的诞生有其必然性,随着通信的发展,要求传送的信息不仅是话音,还有文字、数据、图像SDH技术和视频等。加之数字通信和计算机技术的发展,在70至80年代,陆续出现了T1(DS1)/E1载波系统(1.544/2.048Mbps)、X.25帧中继、ISDN(综合业务数字网) 和FDDI(光纤分布式数据接口)等多种网络技术。随着信息社会的到来,人们希望现代信息传输网络能快速、经济、有效地提供各种电路和业务,而上述网络技术由于其业务的单调性,扩展的复杂性,带宽的局限性,仅在原有框架内修改或完善已无济于事。SDH就是在这种背景下发展起来的。在各种宽带光纤接入网技术中,采用了SDH技术的接入网系统是应用最普遍的。SDH的诞生解决了由于入户媒质的带宽限制而跟不上骨干网和用户业务需求的发展,而产生了用户与核心网之间的接入"瓶颈"的问题,同时提高了传输网上大量带宽的利用率。SDH技术自从90年代引入以来,至今已经是一种成熟、标准的技术,在骨干网中被广泛采用,且价格越来越低,在接入网中应用可以将SDH技术在核心网中的巨大带宽优势和技术优势带入接入网领域,充分利用SDH 同步复用、标准化的光接口、强大的网管能力、灵活网络拓扑能力和高可靠性带来好处,在接入网的建设发展中长期受益。我们了解SDH的光传输及MGW及MSCS数据配置对我们加深理论知识理解有帮助。 四、实训情况简介 经学校安排, 于2014年6月9号至2014年6月20号期间在电子信息楼B404进行了实训,实训工作内容为SDH点对点组网配置实验,SDH链型组网配置实验,SDH环形组网配置实验以及MGW和MSCS数据配置实验。现总结如下: 实验进行了两周,第一周是移动数据配置,第二周是光传输实验,每次老师先给我们讲解原理,还有注意事项等,我了解了原理之后按照指导书在配置环境下按指导书配置。配置

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

2017计算机网络与通信技术实验报告要求

一、实验信息 2017计算机网络与通信技术实验报告要求 学号:15291202 姓名:杨有为班级:电气1511 IP:192.168.0.27 子网掩码:255.255.255.0 二、报告内容 1. 实验一,任务一 1) 画出实验室的网络拓扑图,将每个网络用CIDR记法进行表示,并注明你用的电脑处在哪一个网络。 2) 在你的电脑上打开cmd窗口,ping一下192.168.0.0网络的任何一台在线的主机,将实际运行结果进行图片保存,粘贴到实验报告上。 3) 在ping的过程中,利用wireshark捕捉包含对应ICMP报文的MAC帧,将此MAC帧的各个控制字段,以及此MAC帧中包含的IP数据报的各个控制字段,进行标注或者用文字列出。

IP报文 数据报的数据部分为48个字节 协议字段值为01代表IP数据报携带的是ICMP协议 下面对数据部分进行分析:IP数据报的数据部分=ICMP的首部+ICMP的数据部分 2. 实验一,任务二 1) 请写出T568B标准的线序,请将你做好的网线的图片粘贴到报告上。

T568B标准线序:白橙、橙色、白绿、蓝色、白蓝、绿色、白褐、褐色 2) 如果用校线器测出你做的网线只有1、2、3、6能通,那么在实验室网络环境下这根网线能否使用,为什么?10M、1000M、10G的以太网,哪个必须用到全部8根双绞线,请查阅资料后回答。 答:能用,因为在这8根双绞线中1、2用于发送,3、6用于接收,4、5、7、8是双向线,在100M以下的以太网中只需要其中四根,也就是1、2、3、6两对双绞线 就可以进行数据的传送。而1000M的以太网,必须用到全部的8根双绞线 3) 实验中你的网线做成功了吗?请总结成功或者失败的经验。 答:成功了,要细心。 3. 实验二,任务一 1) 请把你做的网站的静态和动态网页显示效果,冻结图片之后粘贴到报告上?

相关文档
最新文档