2ASK调制解调课程设计论文(简单版)

2ASK调制解调课程设计论文(简单版)
2ASK调制解调课程设计论文(简单版)

目录

1 引言

1.1课题研究的背景和意义………………………………………………

1.2研究现状………………………………………………………………

1.3论文的内容安排…………………………………………………………

2 系统原理及设计方法

2.1 2ASK调制的原理…………………………………………………………Y

2.2 ASK解调原理及设计方法……………………………………………………

3 ASK调制与解调的VHDL系统建模

3.1软件平台介绍…………………………………………………………………

3.2整体方案设计…………………………………………………………………

4 2ASK调制系统VHDL建模

4.1 2ASK调制系统仿真模型………………………………………………………

4.1.1 m序列原理…………………………………………………………

4.1.2 m序列的实现……………………………………………………

4.1.3分频器原理………………………………………………

4.2 调制程序实现……………………………………

4.2.1 M序列的实现…………………………………………

4.2.2分频器的实现……………

4.3 2ASK调制系统仿真……………

4.3.1 M序列伪随机码仿真…………………………………………

4.3.2分频器仿真……………………………………………

4.3.3 2ASK调制仿真……………………………………………………

5 2ASK解调系统VHDL建模与仿真

5.1 2ASK解调系统仿真模型………………………………………………………

5.2 2ASK解调系统的实现…………………………

5.2.1 2ASK解调系统的VHDL设计…………………………………………

5.2.2 2ASK解调系统的仿真结果………………………………

5.2.3 2ASK解调仿真的误码……………………………………………

5.3 本章小结…………………………………………………………

1 引言

1.1课题研究的背景和意义

通信即传输信息,进行信息的时空转移。通信系统的作用就是将信息从信源发送到一个或多个目的地。实现通信的方式和手段很多,如手势、语言、旌旗、烽火台和击鼓传令,以及现代社会的电报、电话、广播、电视、遥控、遥测、因特网和计算机通信等,这些都是消息传递的方式和信息交流的手段。伴随着人类的文明和科学技术的发展,电信技术也是以一日千里的速度飞速发展,如今,在自然科学领域涉及“通信”这一术语时,一般指“电通信”。现代通信系统要求通信距离远、通信容量大、传输质量好。改革开放以来,我国的通信建设有了迅速的发展,但与一些发达国家相比还是比较落后。随着时代的发展,用户不再满足于听到声音,而且还要看到图像,通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆,微波中继和卫星通信等将更多地采用数字传输。传递信息所需的一切技术设备的总和称为通信系统。目前,数字通信已经成为当代通信技术的主流。下图为数字通信系统的一般模型

图1.1 数字通信系统的一般模型

与模拟通信相比,数字通信具有以下一些优点:1 抗干扰能力强,尤其是数字信号通过中继再生后可消除噪声积累; 2 数字信号通过差错控制编码,可提高通信的可靠性;

3 由于数字通信传输一般采用二进制码,所以可使用计算机对数字信号进行处理,实现复杂的远距离大规模自动控制系统和自动数据处理系统,实现以计算机为中心的通信网。

4 在数字通信中,各种消息(模拟的和离散的)都可变成统一的数字信号进行传输。在系统中对数字信号传输情况的监视信号、控制信号及业务信号都可采用数字信号。

5 数字信号易于加密处理,所以数字通信保密性强。

作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向通过调制,不仅可以进行频谱搬移,把调制信号的频谱搬移到所希望的位置上,而且它对系统的

传输有效性和传输的可靠性有着很大的影响。从模拟调制到数字调制,从二进制发展到多进制调制,虽然调制方式多种多样,但都是朝着使通信系统更高速、更可靠的方向发展。一个系统的通信质量,很大程度上依赖于所采用的调制方式。因此,对调制方式的研究,将直接决定着通信系统质量的好坏。

ASK(Amplitude-Shift Keying)作为一种简单高效便捷,易于实现的特点, 在目前的通信领域中有着其独特的位置,对基于ASK 的通信系统的研究与应用也是众多研究项目中的热点。在实际应用当中,大型、复杂的系统直接实验是十分昂贵的,而通信系统设计研究是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统分析和设计。利用仿真,可以大大降低实验成本。在实际通信中,很多信道都不能直接传送基带信号,必须用基带信号对载波波形的某些参量进行控制,使载波的这些参量随基带信号的变化而变化,即所谓正弦载波调制。

1.2研究现状

ASK(Amplitude-Shift Keying)振幅调制作为数字调制传输的一种常用方式,具备上述所有的优点,所以ASK的应用是非常广泛的,如在基于ASK的神经网络解调器研究上与传统解调器相比,它有一些很重要的特点:第一,基于ASK的神经网络算法用于解调处理,其抗干扰性能优于传统方法;第二,基于ASK的神经网络解调器有和传统解调器相似的处理单元,但在神经网络中,这些功能被整合在多个神经元中,无需对每个处理单元和功能进行单独设计,这些处理功能都是在其学习过程中自己获得的;第三,解调系统为并行结构,所以处理速度比传统速度更快。还有开发多信道通信系统时针对ASK中频信号发生器和接收机的FPGA设计及实现的研究,研究结果表明能增加系统的冗余性,提高系统的可靠性。有较为广泛的市场前景的应用于智能系统包括家庭保安系统、自动化控制系统、汽车门禁系统以及RFID等领域的工作于超高频(UHF)的射频接收机也常使用于ASK数字调制方式。在其它应用中还有如基于ASK无线射频收发模块的安防系统,无线射频数据传送电路和EMC微处理器设计为一体,构成具有检测不同信号和无线数据传输的功能模块,并通过无线接收模块与电话网络连网,应用于家庭及单位的安防系统。

通信系统的发展日新月异。20世纪末,EDA(Electronic Design Automation电子设计自动化)技术获得了飞速的发展。它的核心已日趋转向基于计算机的电子设计自动化技术。采用EDA技术来设计并测试通信系统,能有效地缩小了系统的体积,降低了成

本,增加了可靠性。EDA技术中的VHDL语言使得设计具有良好的可移植性及产品升级的系统性。

1.3论文的内容安排

本文论述了基于VHDL实现ASK数字调制系统的方法,和在QUARTUS上的仿真调试。具体内容安排如下:

第一章介绍课题研究的背景,意义以及ASK的发展现状;

第二章研究2ASK 调制解调系统的原理及设计方法;

第三章根据各个系统的总体功能与硬件特点,设计总体框图;

第四章根据VHDL 语言特点,对调制系统进行VHDL 建模与仿真;

第五章对解调系统进行vhdl建模与仿真。

2 系统原理及设计方法

2.1 2ASK调制的原理

幅度键控2ASK(Amplitude-shift keying)技术是一种数字调制技术,它是连续波调制。2ASK指的是振幅键控方式。其调制方式是根据信号的不同,调节正弦波的幅度。2ASK技术主要是用在数据传输方面,它的优点是有效性好,随着输入信噪比的增加,系统的误码率将更迅速地按指数规律下降。

幅度键控的调制可以通过乘法器和开关电路来实现。载波在数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端就可以根据载波的有无还原出数字信号的1和0。对于二进制幅度键控信号的频带宽度为二进制基带信号宽度的两倍。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。在振幅键控中载波幅度是随着基带信号的变化而变化的。使载波在二进制基带信号1或0的控制下通或断,即用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2ASK信号,二进制振幅键控方式称为通—断键控(OOK)。2ASK信号典型的时域波形如图2所示

图2.1 2ASK信号典型的时域波形

2ASK信号的时域数学表达式为:

e aAK t=s t cosωc t (2.1)

式中,ωc为载波角频率,s(t)为随机的单极性二进制基带脉冲序列。

s t=a n g(t?nT b)(2.2)

其中,g(t)是持续时间为T b、高度为1的矩形脉冲,常称为门函数,a n为二进制数字

0,出现概率为(1?p)

(2.3)

1,出现概率为p

2ASK信号的产生方法(调制方法)有两种,如下图2.1所示:

图2.22ASK信号的产生方法

图(a)是一般的模拟幅度调制方法,不过这里的s(t)由式(2.2)规定;图(b)是一种键控方法,这里的开关电路受s(t)控制。图(c)给出e0(t)的波形示例。二进制幅度键控信号,由于一个信号状态始终为0,相当于处于断开状态,故又常称为通断键控信号(OOK信号)。

2.2 ASK解调原理及设计方法

ASK信号解调的常用方法主要有两种:包络检波法和相干检测法。包络检波法的原理方框图如图2.3所示:

2.3 包络检波法的原理方框图

带通滤波器(BPF)恰好使2ASK信号完整地通过,经包络检测后,输出其包络。低通滤波器(LPF)的作用是滤除高频杂波,使基带信号(包络)通过。抽样判决器包括抽样、判决及码元形成器。定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。不计噪声影响时,带通滤波器输出为2ASK 信号,即y t=e0t=s t cosωc t,包络检波器输出为s(t)。经抽样、判决后将码元再生,即可恢复出数字序列{an}。相干检测法原理方框图如图2.4所示:

2.4相干检测法原理方框图

相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。

包络检波法是一种非相干解调,信号经过带通滤波器抑制来自信道的带外干扰,然后进行解调和抽样判决。采用包络检波法的接收系统通常工作在大噪比的情况下,最佳门限值为接收信号包络值的一半,也就是说对于2ASK的包络检波法来说,最佳门限值

和接收信号的包络值有关,这个特性使得2ASK不适用于变参信道。

同步检测法也称相干解调,信号经过带通滤波器抑制来自信道的带外干扰,相乘器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰,通常判决电平取A/2。

由于信号只有1和0两种状态,因此只需要在每个信号间隔内做出一次判决即可,由采样判决电路完成。在相同大信噪比情况下,2ASK信号相干解调时的误码率总是低于包络检波时的误码率,即相干解调2ASK系统的抗噪声性能优于非相干解调系统,但两者相差并不太大。然而,包络检波解调不需要稳定的本地相干载波,故在电路上要比相干解调简单的多。虽然2ASK信号中确实存在着载波分量,原则上可以通过窄带滤波器或锁相环来提取同步载波,但这会给接收设备增加复杂性。因此,实际中很少采用相干解调法来解调2ASK信号。但是,包络检波法存在门限效应,相干检测法无门限效应。所以,一般而言,对2ASK系统,大信噪比条件下使用包络检测,即非相干解调,而小信噪比条件下使用相干解调。

3 ASK调制与解调的VHDL系统建模

3.1 软件平台介绍

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL 器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言(VHDL)等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL

的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL 与Verilog语言将承担起大部分的数字系统设计任务。VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Description Language。翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体entity(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

3.2 整体方案设计

本论文主要进行的是ASK的仿真,即通带信号的传输。方案的设计思路是建立在Quartus软件上的。首先,要有一个信源,这个信源用伪随机序列发生器。其次,要有载波信号,这里载波信号选择时钟信号。基带信号和载波信号在控制器的控制下进入调制器。调制出来的信号经过传输,进入解调器,被恢复成基带信号。整体方框图如下图。

3.1 整体方案框图

4 2ASK调制系统VHDL建模

4.1 2ASK调制系统仿真模型

基于VHDL语言的2ASK调制建模方框图如图10所示,功能的实现是在Quartus II 软件上完成的。

4.1 2ASK调制系统建模方框图

这里的载波信号是使用clk信号经过分频所得,这样可以确定载波的频率以匹配不同带宽的传输线。由于m序列具有随机性和规律性,好的自相关和互相关性,且很容易产生。基带信号用m序列发生器产生。

4.1.1 m序列原理

m序列是最大长度线性移位寄存器序列的简称。伪随机信号在雷达、遥控、遥测、通信加密和无线电测量系统领域有着广泛的应用。利用VHDL语言进行软件编程,通过EDA设计软件对程序编译、优化、综合、仿真、适配,最后将生成的网表文件配置于制定的目标芯片中,可以实现不同序列长度的伪随机信号发生器。首先用在扩频通信系统中,3G及3G移动通信技术的特征之一是码分多址即CDMA,码是CDMA码分的基础。这里的码就是伪随机码,简称PN码。这是因为伪随机序列(Pseudonoise Sequenec)具有类似于随机信号的一些统计特性,但又是有规律的,容易产生和复制。也正是源于系统中一般都采用伪随机序列,在扩频通信系统中也把扩频序列叫作伪随机序列(即PN 码)。PN码的选择作为3G移动通信的关键技术之一直接影响CDMA系统的质量、抗干扰能力等。目前IS95标准中使用的PN序列就是m序列,同时m序列还是构成其他序列码的基础,如在WCDMA中采用的GOLD码就是由2个m序列相加而成的。此外m序列又有较好的密码学性质,用在密码学和保密通信中,即用来产生序列密码。

m序列是最长线性移位寄存器序列,它是由带线性反馈的移位寄存器产生的周期最

长的一种序列。一般来说,一个n级的反馈移存器可能产生的最长周期为:2n-1-1 ,则8位的移位寄存器能产生的最长周期为127。能产生周期最长的线性移位寄存器的结构由其本原多项式决定,本原多项式应满足:

(1)是既约多项式,即不能再进行因式分解(2)可除尽(3)整除不尽。

m序列一个周期N=2n-1内“1”和“0”的码元数大致相等,“0”出现2n-1-1次,“1”出现2n-1次(“1”比“0”只多一个)。m序列中连续的为“1”或“0”,称为游程,且其个数称为游程长度。其中长度为1(单“1”,或单“0”,)的游程占总游程的1/2,长度为

2(“11”或“00”)的游程占总游程的1/4,长度为3(“111”或“000”)的游程占总游程的1/8,长度为k的游程占总游程的1/2k,只有一个包含(n一l)个“0”的游程,也只有一个包含n个“1”的游程。

m序列有较好的自相关性和互相关性。m序列和其移位后的序列逐位模2加,所得的序列还是m序列,只是相位不同。

4.1.2 m序列的实现

在实际工程应用中,m序列既可用硬件产生,也可用软件产生。随着EDA技术的应用,可用VHDL编程来实现。

移位寄存器由时钟控制若干个串接的存储器所组成。在时钟信号的控制下,寄存器的存储信号由上一级向下一级传递。如果让某些寄存器的输出反馈回来进行运算,运算结果作为第一级寄存器的输入,则可以得到一个移位寄存器序列。如果移位寄存器的反馈函数和初始状态不同,那么可得到不同的移位寄存器序列。线性移位寄存器总共有1,2,3,…,N个寄存器,他们的状态为Xi(i=1,2,3,…,n),经Ci(I=1,2,3,…,n)相乘后模2加,再反馈。这里Ci∈(0,1),且乘法规则是0·0=0,0·1=0,1·0=0,1·1=1。实际上Ci=0表示断开,Ci=1表示连接。因此这个N阶移位寄存器的反馈函数为:

F X1,X2,…,X n=C i X i

i=1

n

f x=C i X i

i=0

特征多项式中的Xi(i=0,1,2...n)与移位寄存器的第i个触发器相对应。选m序列的级数为n=7,序列长度为127。如图4.2所示。

图4.2n=7的反馈移位寄存器的结构模型

根据图4.2的结构模型,设置敏感信号。在时钟的上升沿控制下进行移位,给移位寄存器预置初始信号1010100。

4.1.3 分频器原理

载波信号是使用clk信号经过分频所得,为了适应不同传输线的带宽,需设计不同分频系数的分频器。

对于2N分频,可以方便地用模N的计数器来简单实现 50% 占空比分频输出。而对于2N-1的奇数分频,为了获得50%占空比的输出信号,采用触发前移半个周期的方式来剔除半个脉冲周期,称这种方法为脉冲周期剔除法。

由于本论文对载波信号没有很严格的要求,所以选择2N分频。

4.2 调制程序实现

4.2.1 M序列的实现

根据M序列实现原理设计如下VHDL程序:

architecture Behavioral of M_code is

signal reg7 : STD_LOGIC_VECTOR(6 downto 0) := "1000000";

signal code_back : std_logic := '0';

begin

process(clk,start,reg7,code_back)

begin

if start='1' then

if rising_edge(clk) then

reg7(6 downto 0)<=code_back& reg7(6 downto 1);

code_out<=reg7(0);

end if;

else

code_out<='Z';

end if;

end process;

code_back<= reg7(5) xor reg7(4) xor reg7(3) xor reg7(0);

end Behavioral;

程序中建立了一个结构M_code,其中reg7为一个七位的寄存器,code_back为反馈信号,从code_back<= reg7(5) xor reg7(4) xor reg7(3) xor reg7(0);这条语句可知,他是由寄存器的第5、4、3、0这四位进行模二加得到,在每次的时钟上升沿赋值给寄存器的最高位。寄存器的其他各位依次右移。Start作为开始信号,从程序中可以看出,start信号高电平有效,当start为低电平时,输出为高阻态,当start为高电平时,移位寄存器随clk的上升沿工作。

4.2.2 分频器的实现

分频器使用简单的2(N+1)分频器即整数倍分频器。根据2(N+1)分频器的实现原理设计如下VHDL程序:

architecture Behavioral of Fredevider is

constant N : integer :=3;

signal counter : integer range 0 to N;

signal clk : std_logic :='0';

begin

process(clkin)

begin

if start='1' then

if rising_edge(clkin) then

if counter=N then

counter<=0;

clk<= not clk;

else

counter<=counter+1;

end if;

end if;

else

clk<='0';

end if;

end process;

clkout<=clk;

end Behavioral;

从程序中可以看出,我们定义了一个整型常量N,这里赋值为3,实现8分频;定义了一个计数范围为N+1的计数信号;还有一个是中间信号clk。这里start信号仍然高电平有效,当start为低电平时,时钟输出为低电平,当start为高电平时,正常工作。计数器在每一个输入时钟的上升沿计数,每次加一,当计数满N时,计数值清零,clk取反,这样就实现了N+1个半周期。

4.3 2ASK调制系统仿真

本设计使用的开发平台为quartus2,使用的版本是11.0版本,由于altera公司在版本9.0以后取消了quartus2自带的仿真工具,开始只使用第三方modelsim进行仿真,下面对仿真过程及结果进行说明。

4.3.1 M序列伪随机码仿真

使用modelsim仿真工具仿真需要建立测试代码,如下:

COMPONENT M_code

PORT(

start : IN std_logic;

clk : IN std_logic;

code_out : OUT std_logic

);

END COMPONENT;

这段代码为实体例化,说明实体的输入输出管脚。

uut: M_code PORT MAP (

start => start,

clk =>clk,

code_out =>code_out

);

上面这段代码为实体的管脚映射,因为测试程序不同于源代码,测试程序可以自己定义输入的时钟,时序等,相当于一个信号源,地址映射的作用就是连接信号源和实体,是仿真必不可少的部分。

constant clk_period : time := 10 ns;

clk_process :process

begin

clk<= '0';

wait for clk_period/2;

clk<= '1';

wait for clk_period/2;

end process;

上面这段代码为输入时钟的产生代码,这里定义一个时钟周期为10ns。需要说明的是,进程代码是循环执行的,所以不需要循环语句就可以产生连续的时钟信号。

stim_proc: process

begin

start<='0';

wait for 100 ns;

start<='1';

wait;

end process;

此段代码是start信号的产生代码,可以看到,start的起始状态为低电平,在100ns 之后变为高电平,此后保持高电平。

仿真结果如下图所示:

4.3 M序列仿真图

从图中可以看出,在start信号为低电平期间,code_out信号保持高阻态,且寄存器的值为初值“1000000”,start信号在第100ns时跳变为高电平,在变后的第一个时钟上升沿,寄存器的值开始变化。从输出结果不难看出,输出序列基本是随机序列,满足要求。

4.3.2 分频器仿真

分频器同样只有start信号和时钟输入信号,这里不附测试程序,仿真结果如下:

4.4 分频器仿真结果

从图中可以看出,在start信号为低电平期间,clkout为低电平,start信号在第100ns时跳变为高电平,在变后的第一个时钟上升沿,计数器开始计数,当计数值达到3时,下一个时钟上升沿归零,实现了2(N+1)分频。

4.3.3 调制仿真

根据2ASK的建模模型设计2ASK的仿真程序:

ask_out<= clk_carrier and M_code;

上面代码中,clk_carrier为载波信号,M_code为M序列。仿真结果如下图所示:

4.5 2ASK调制仿真

从上图可以看到,时钟信号定为10MHz,载波信号使用4分频,即2.5MHz,而M序列使用8分频,是载波信号频率的一半,仿真的结果与预想一致。

4.6 2ASK调制仿真

从上图可以看到,时钟信号定为10MHz,载波信号使用6分频,而M序列使用18分频,是载波信号频率的六分之一,这样每一高电平就有6个脉冲,这样的传输效果更好。

5 2ASK解调系统VHDL建模与仿真

5.1 2ASK解调系统仿真模型

基于VHDL语言的2ASK解调建模方框图如图5.1所示。

图5.1 2ASK解调系统建模方框图

从上图可知,已调信号为上一章节产生的调制信号,时钟信号实际上是采样信号,通过对信号进行脉冲计数进行判决,从而得到解调信号。

5.2 2ASK解调系统的实现

5.2.1 2ASK解调系统的VHDL设计

根据上一节的模型,设计VHDL程序如下:signal q: integer range 0 to 35;

signal xx : std_logic;

signal m : integer range 0 to 5;

signal ask_code :std_logic;

U_jietiao1:process(clkin,q)

begin

if start='0' then

q<=0;

elsifrising_edge(clkin) then

xx<=ask_code;

if q=35 then

q <= 0;

else

q<=q+1;

end if;

end if;

end process;

U_jietiao2:process(clkin,q)

begin

if q=35 then

m<=0;

elsif q=34 then

if m<3 then

ask_out<='0';

else

ask_out<='1';

end if;

elsifrising_edge(xx) then

m<=m+1;

end if;

end process;

以上代码即为解调的代码,m取值0-5,是因为每一个基带信号对应6个载波周期,通过计数脉冲个数就可以判断包含的高低电平状态。Q取值为0-35,因为基带信号对应36个clk时钟信号。

5.2.2 2ASK解调系统的仿真结果

在进行解调仿真时,输入带通信号使用的是第四章生成的M序列的调制信号,仿真结果如下:

5.2 调制解调仿真图

5.3 调制解调局部放大图

如图20所示,x的信号值延时一个系统时钟赋给xx。当x信号出现第一个高电平时,内部计数器m开始记数,在q等于34的时候通过判断m的数值来判决输出信号ask_out

是高电平还是低电平。.在q=35时,m清零。在q为其它时,m计xx(x信号的寄存器)的脉冲数。由于在q等于34前没有进行判决,所以之前的y信号都没有输出,这就造成了输出信号y延时输入信号x有35个系统时钟。当然,输入信号x的时刻与允许调制信号start不协调程度不一样的话,造成的延时也不一样,甚至可以造成较严重中的错误。而这里面最要注意到的问题就是前面反复说的码元长度。如果码元长度给定(这里的码元长度等于36个系统时钟周期),那么就需要注意start信号和x信号的同步情况,但是如果码元长度出了问题,最后就结果就会出现较大错误。

5.2.3 2ASK解调仿真的误码分析

5.4Q计数值为18时仿真图像

5.5Q计数值为32时仿真图像

5.6Q计数值为50时仿真图像

从以上三张图可以看出,当q的计数值小于36的一半时,无法完成解调,当在36左右时,会出现误码情况,离36越近误码率越低。所以,在选择解调时钟及计数值时,

复合泊松过程应用问题

课程名称:《随机过程》 课程设计(论文) 题目: 复合泊松过程应用问题 学院:理学院 专业:数学与应用数学 班级:数学11-1班 学生姓名: abc 学生学号: abc 指导教师: abc 2013 年 12 月 9 日

目录 任务书 (3) 摘要 (4) 第一章绪论 (5) 第二章复合泊松过程的基本理论 (5) 2.1 复合泊松过程的定义及物理意义 (5) 2.2 复合泊松过程的实例 (5) 2.3 与复合泊松过程有关的的命题 (6) 2.4 复合泊松过程恒等式 (8) 2.5复合泊松过程的可加性及证明 (8) 第三章问题描述及分析计算 (10) 3.1 以复合泊松过程为模型的问题 (10) 3.2典型例题的具体分析 (10) 第四章MATLAB程序及运行结果 (11) 4.1 典型1,2的matlab程序 (11) 4.2 问题小结 (13) 第五章结论 (13) 第六章参考文献 (13) 评阅书 (14)

课程设计任务书

摘要 泊松过程是由法国著名数学泊松(Poisson, Simeon-Denis)(1781—1840)证明的。1943年 C.帕尔姆在电话业务问题的研究中运用了这一过程,后来Α.Я.辛钦于50年代在服务系统的研究中又进一步发展了它。现在泊松过程在物理学、地质学、生物学、医学、天文学、金融、服务系统和可靠性理论等领域中都有广泛的应用。非齐次泊松过程和复合泊松过程作为泊松过程推广的一种,其应用更是广泛,那么本文主要讲的是复合泊松过程的应用及其推广。 本文通过应用复合泊松过程的定义、基本理论,及其可加性的重要定理分析生活中的实际问题,并模拟复合泊松过程的模型,利用MATLAB软件进行求解,最后进行问题的分析,给出合理总结及误差分析。在实际问题中,通过结合复合泊松过程的性质,定理和概率论,各种模型的分布等知识去更好的解决,提出实用性建议。 关键字:复合泊松过程 MATLAB软件概率论模型分布

数据库应用课程设计论文

华北科技学院 课程设计说明书 班级: 计算B092 姓名: 赵礼阳(200909014201) 设计题目: 小样个人理财 设计时间: 2011.1.2 至2011.1.13 指导教师: 谭立云 评语:________________________________ _________________________________________ _________________________________________ _________________________________________ _________________________________________ 评阅成绩: 评阅教师:_____

设计任务和技术要求: 设计个人理财管理系统,并实现前台C++和后台SQL数据库的链接,可以从前台的MFC 界面访问数据库中的每个表,在前台的界面中实现个人理财信息的增加,删除和修改。个人理财信息的查询。并把增删改后的数据放在后台的数据库中。实现以个人或者时间为单位,按时间或者姓名查找后的财务信息,在前台访问后台数据库的信息,输出访问数据库后符合要求的财务信息在前台MFC界面输出。 内容摘要: 为了完成整个系统的设计,首先是对整个系统的总体设计,整个系统要完成的工作如上所述,完成整个系统的设计需要完成三个部分的工作,首先是数据库的设计,数据库的设计需要分析数据库的功能需求分析,画出E-R图,编写数据字典,完成数据库的表的设计,为数据库添加对象。其次是MFC的界面设计,界面设计需要分析所要完成的用户功能,设计相应的界面,实现各个界面之间额链接和视图。最后需要链接数据库和MFC,使所要查询的数据来自数据库,并且对数据所做的增删改工作保存在数据库中。并演示所有的功能。

随机过程及其应用结课论文

硕士研究生课程结课论文 《随机过程》 姓名:xxxx 学号:xxxx 年级:14 级 学科(领域):数学 培养单位:理学院 日期:2014年11月12日 教师评定: 综合评定成绩:任课教师签字:

目录 1 引言 (2) 1.1 研究背景 (2) 1.2 研究意义 (2) 1.3 选题依据 (2) 2 时间序列分析的理论 (3) 2.1 时间序列分析的问题 (3) 2.2 确定与随机性时间序列分析 (3) 2.3 时间序列的概念及性质 (3) 2.3.1 平稳性 (3) 2.3.2 平稳时间序列 (3) 2.3.3 平稳时间序列的统计性质 (4) 2.3.4 平稳性的检验 (4) 2.3.5 纯随机性检验 (4) 3 平稳时间序列分析 (5) 3.1 ARMA 模型 (5) 3.1.1 AR 模型 (5) 3.1.2 MA模型 (5) 4 非平稳序列分析 (8) 4.1 确定性成分 (8) 4.1.1 趋势成分 (8) 4.1.2 季节效应分析 (8) 4.2 非平稳序列的随机分析 (9) 4.2.1 差分 (9) 4.2.2 ARIMA 模型 (9) 4.2.3 ARIMA 模型建模 (9) 4.2.4 异方差及方差齐性变换 (10) 4.2.5 条件异方差模型 (10) 5 基于时间序列分析的股票预测模型的实证分析 (11) 5.1 关于样本数据的描述与调整 (11) 5.2 结论 (15) 参考文献 (16)

基于时间序列分析的股票预测模型研究 摘要:在现代金融浪潮的推动下,越来越多的人加入到股市,进行投资行为,以期得到丰厚的回报。所谓股票预测是指:根据股票现在行情的发展情况地对未来股市发展方向以及涨跌程度的预测行为。时间序列数据因为接受到许多偶然因素的影响,会常常表现出随机性,在统计学上称之为序列的依赖关系。在股票市场上,时间序列预测法常用于对股票价格趋势进行预测,为投资者和股票市场管理方提供决策依据。 本文主要介绍了时间序列分析方法的概念,特点及时间序列模型,包括建模时对数据时间序列的预处理、及模型预测等。并通过对时间序列分析的实证研究分析,建立时间序列模型,其中包括 ARIMA 等模型,进行误差分析,说明时间序列分析的方法对于股票价格的预测趋势有一定的参考价值。 关键词:股票,预测,时间序列分析,ARIMA 模型 Study on prediction model of time series analysis based on the stock Bian Xiaofei (HeiLongJiang University of science and technology,Harbin City) Abstract:In the modern financial wave, more and more people join the stock market to invest, expecting to get rich return, which has gr eatly promoted the stock market’s prosperity.The so-called stock forecast is defined: with the help of the stock’s recent condition, we’ll predict the future stock’s development, including its later development directions and fluctuations. Time-series data often show some kinds of randomness and dependence between each other because of the influence of various accidental factors.Time series analysis is often used to predict the stock price, which provides decision-making basis for investors and the stock market managers. This thesis mainly introduces time series analysis theory, including its notion, character as well as the expression and description of some models derived from it ,including method of data simulation, method of parameter estimation and method of testing degree of fitting and arrange them by the numbers. Therefore we can establish some models, including ARIMA model and so on. While through this empirical research analysis, we could prove that the method has some value for predicting t he stock’s trend by means of model fitting effect and error analysis. Keywords: stock, predict, time series analysis, ARIMA model

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

应用随机过程教学大纲

《应用随机过程A》课程教学大纲 课程编号: L335001 课程类别:专业限选课适用专业:统计学专业 学分数:3学分学时数: 48学时 应修(先修)课程:数学分析、概率统计、微分方程、高等代数 一、本课程的地位和作用 应用随机过程是数学与应用数学专业的专业限选课程,是统计学专业的专业课程之一。随机过程是研究客观世界中随机演变过程规律性的学科,随机过程的研究对象为随时间变化的随机现象,即随时间不断变化的随机变量,通常被视为概率论的动态部分。随着科学技术的发展,它已广泛地应用于通信、控制、生物、地质、经济、管理、能源、气象等许多领域,国内外许多高等工科院校在研究生中设此课程,大量工程技术人员对随机分析的方法也越来越重视。通过本课程的学习,使学生初步具备应用随机过程的理论和方法来分析问题和解决问题的能力。 二、本课程的教学目标 使学生掌握随机过程的基本知识,通过系统学习,学生的概率理论数学模型解决随机问题的能力得到更加进一步的提高,特别在经济应用上,通过本课程的学习,可以让数学专业的学生很方便地转向在金融管理、电子通讯等应用领域的研究。 三、课程内容和基本要求 ?”记号标记既(用“*”记号标记难点内容,用“?”记号标记重点内容,用“* 是重点又是难点的内容。) 第一章预备知识 1.教学基本要求 (1)掌握概率空间, 随机变量和分布函数, 矩母函数和特征函数的概念和相关性质。 (2)掌握条件概率, 条件期望和独立性的概念和相关性质。 (3)了解概率中收敛性的概念和相互关系。 2.教学内容 (1)概率空间 (2)▽随机变量和分布函数

(3)▽*数字特征、矩母函数和特征函数 (4)▽*条件概率、条件期望和独立性 (5)收敛性 第二章随机过程的基本概念和类型 1.教学基本要求 (1)掌握随机过程的定义。 (2)了解有限维分布族和Kolmogorov定理。 (3)掌握独立增量过程和独立平稳增量过程概念。 2.教学内容 (1)基本概念 (2)▽*有限维分布和Kolmogorov定理 (3)▽随机过程的基本类型 第三章 Poisson过程 1.教学基本要求 (1)了解计数过程的概念。 (2)掌握泊松过程两种定义的等价性。 (3)掌握泊松过程的到达时刻的分布、等待时间的分布和来到时刻的条件分布。(4)了解泊松过程的推广。 2.教学内容 (1)▽ Poisson过程 (2)▽* 与Poisson过程相联系的若干分布 (3)* Poisson过程推广 第四章更新过程 1.教学基本要求 (1)掌握更新过程的定义和基本性质。 (2)掌握更新函数、更新方程。 (3)了解更新定理及其应用,更新过程的若干推广。 (4)了解更新过程的若干推广。 2.教学内容

平稳时间序列的模型

目录 摘要 (1) 第一章绪论 (2) 1.1 时间序列模型的发展及其作用 (2) 1.2 什么是时间序列模型 (2) 1.3 本文研究的主要方法和手段 (2) 1.4 本文主要研究思路及内容安排 (2) 第二章 ARMA模型 (4) 2.1 ARMA模型的基本原理 (4) 2.2 样本自协方差函数、自相关函数和偏相关函数 (4) 2.3 ARMA模型识别方法 (5) 2.4 模型参数估计 (6) 第三章实例分析 (7) 3.1 题目 (7) 3.2 问题分析 (7) 3.3 问题求解 (8) 3.3.1数据的观测 (8) 3.3.2数据处理 (8) 3.3.3求解自相关和偏相关函数 (8) 3.4 模型的识别及求解 (9) 3.5 结论 (11) 参考文献 (12) 附录 (12) 评阅书 (15)

《随机过程》课程设计任务书

摘要 ARMA模型是研究时间序列的重要方法,由自回归模型(简称AR模型)与滑动平均模型(简称MA模型)为基础“混合”构成。ARMA模型广泛应用在经济、工程等各个领域得益于其在具体预测方面的优势。在许多方面用该模型所作出的预测比其他传统经济计量方法更加精确。平稳时间序列模型主要有自回归模型(AR)、滑动平均模型(MA)和自回归滑动平均模型(ARMA)等,这些线性模型考虑因素较简单。自回归滑动平均模型(ARMA)计算简单,易于实时更新数据。 本文描述了ARMA模型的原理、自相关函数和偏相关函数的计算过程、模型的识别方法以及ARMA模型的计算过程。并给出一组平稳时间序列的数据,对数据进行分析和处理,求出自相关系数和偏相关,并利用MATLAB软件画出自相关系数和偏相关图形,有图可知它们都是拖尾的,因此可以确定是) ARMA模 p , (q 型。接下来就是确定) ARMA的阶数,本文采用了AIC准则确定模型的阶数, p , (q 在实际问题中,为使线性模型简单起见,通常p与q的数值被取得较小,却需都不为零。确定阶数后,就用我们学过的求解方法解出未知的参数,这样我们就得到了混合模型的表达式。 关键字:) ARMA模型,自相关函数,偏相关函数 p , (q

《数据库原理》课程设计论文

《数据库原理》课程设计论文 客房管理系统的设计 姓名 成绩评定 目 一、 二、 1 2 3 4、系统流程图 5、数据库设计 6、E—R图设计 7、程序源代码及说明 三、课程设计总结 四、参考文献 一、设计目的 随着市场经济的迅速发展和人们生活水平的不段提高,宾馆酒店业的竞争愈来愈激烈。要想在竞争中取得优势,必须在经营管理、产品服务等方面提高服务管理意识。 而对客房的经营起决定作用的是客房的管理。为提高客房的管理水平,做到最细微让顾客体会到客房服务的高标准,高质量。面对信息时代的挑战,利用科技手段提高企业管理无疑是一条行之有效的途径。 二、设计内容 1、题目简介 本系统利用信息技术提高宾馆酒店旅馆管理水平,主要是管理其日常业务。使用本系统能够可大幅度提高业务部门的工作效率。 2、需求分析 某宾馆(酒店、旅馆)设有:豪华套间、标准间、普间等各种设施。随着社会的进步和科学技术的不段发展,随着宾馆酒店业的竞争激励加剧,该宾馆(酒店、旅馆)的管理人员已意识到使用计算机网络和管理系统的重要性,决定着现在客房管理上采用计算机管理。 本系统利用信息技术提高其管理水平,主要管理宾馆的日常业务。希望通过本系统的开发,对宾馆的客房、客 人及各种收费进行系统管理。客房管理要实现客房登记、追加押金、客人调放、房态设置等功能。客人管理要实现宿费提醒(提示前台要求客人补交押金)、客人挂帐、客人结帐等功能,满足多种需求。使用本系统可大幅度提高业务部门的工作效率。 根据其具体情况,系统重要功能包括: (1)住宿管理:包括住宿登记、调房登记、追加押金、退房结帐。 (2)客房管理:包括客房设置、客房查询、房态查询。

数字电路课程设计数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5.显示模块:由7段数码管来起到显示作用,通过接受 CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图 图2-2 555功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H到L或者从L到H都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

RSA课程设计

理工大学 课程设计 题目:RSA加密算法 院、系:计算机科学与技术学院网络工程系 班级: 学号: 姓名: 同组成员: 指导教师: 成绩: 2014年06月27日

一.系统设计的目标 通过运用RSA加密算法,实现对信息的加密和解密,掌握RSA算法的实现原理以及实现过程。 二.系统原理: RSA是在1978年,由美国麻省理工学院(MIT)的Rivest、Shamir和Adleman在题为《获得数字签名和公开钥密码系统的方法》的论文中提出的。它是一个基于数论的非对称(公开钥)密码体制,是一种分组密码体制。其名称来自于三个发明者的首字母。它的安全性是基于大整数素因子分解的困难性,而大整数因子分解问题是数学上的著名难题,至今没有有效的方法予以解决,因此可以确保RSA算法的安全性。RSA系统是公钥系统的最具有典型意义的方法,大多数使用公钥密码进行加密和数字签名的产品和标准使用的都是RSA算法。 RSA算法是第一个既能用于数据加密也能用于数字签名的算法,因此它为公用网络上信息的加密和鉴别提供了一种基本的方法。它通常是先生成一对R SA 密钥,其中之一是密钥,由用户保存;另一个为公开密钥,可对外公开,甚至可在网络服务器中注册,人们用公钥加密文件发送给个人,个人就可以用私钥解密接受。为提高强度,RSA密钥至少为500位长,一般推荐使用1024位。 该算法基于下面的两个事实,这些事实保证了RSA算法的安全有效性: 1)已有确定一个数是不是质数的快速算法; 2)尚未找到确定一个合数的质因子的快速算法。 目前,日益激增的电子商务和其它因特网应用需求使公钥体系得以普及,这些需求量主要包括对服务器资源的访问控制和对电子商务交易的保护,以及权利保护、个人隐私、无线交易和容完整性(如保证新闻报道或股票行情的真实性)等方面。公钥技术发展到今天,在市场上明显的发展趋势就是PKI与操作系统的集成,PKI是“Public Key Infrastructure”的缩写,意为“公钥基础设施”。公钥体制广泛地用于CA认证、数字签名和密钥交换等领域。公钥加密算法中使用最广的是RSA。RSA算法研制的最初理念与目标是努力使互联网安全可靠,旨在解决DES算法秘密密钥的利用公开信道传输分发的难题。而实际结果不但很好地解决了这个难题;还可利用RSA来完成对电文的数字签名以抗对电文的否认与抵赖;同时还可以利用数字签名较容易地发现攻击者对电文的非法篡改,以保护数据信息的完整性。目前为止,很多种加密技术采用了RSA算法,该算法也已经在互联网的许多方面得以广泛应用,包括在安全接口层(SSL)标准(该标准是网络浏览器建立安全的互联网连接时必须用到的)方面的应用。此外,RSA加密系统还可应用于智能IC卡和网络安全产品。 RSA算法的编程思路

随即过程在通信系统中的应用

随机过程在通信原理中的应用 (陕西理工学院物理与电信工程学院通信工程专业1203班,陕西汉中723000) 指导教师:王桂宝 [摘要]:随机过程是随机信号分析的基石,通过对随机过程的自相关函数和功率谱密度等参量的MA TLAB仿真,理解自相关函数和功率谱密度的特点、波形及其之间的关系,掌握随机过程的自相关函数和功率谱密度的特点、波形及其之间的关系。学会利用MATLAB语句生成高斯白噪声,能够利用MA TLAB工具分析随机过程的性能特性,能够利用MA TLAB基本程序控制语句求信号的功率谱及自相关函数等,并对随机过程进行系统分析。 [关键词]:随机过程;MA TLAB;系统分析

Random processin the application of the communication principle Wang Yupeng (Grade12,Class03Major Communication,Physical and telecommunication engineering institute,Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Instructor: Wang Guibao [Abstract]:Stochastic process is the foundation of random signal analysis, based on the random process of the autocorrelation function and power spectral density parameters of MA TLAB simulation, to understand the characteristics of the autocorrelation function and power spectral density, waveform and the relationship between the master the autocorrelation function of random process and the characteristics of the power spectral density, the waveform and the relationship between. Learn to use the MATLAB statements generated gaussian white noise, can use MA TLAB tools to analyze characteristics of random process, be able to use MA TLAB basic control statements for signal power spectrum and autocorrelation function, and system analysis of stochastic process. [Keywords]:Stochastic process; MA TLAB; System analysis

数据库课程设计(实例+论文)

[运网物流管理系统] 开发文档 [版本:2.0] 班级: 2003级计算机科学与技术3班开发小组组长: 邓彬(20034043180) 开发组成员:汪庆春(20034043179)、 邹奇(20034043181)、 黄键(20034043107)指导老师:何迎生 二〇二一年一月二十七日星期三

摘要 《运网物流管理系统》是一个基于https://www.360docs.net/doc/0312233502.html,开发的Web物流管理管理系统。作为B/S结构的web数据库管理系统,本系统具有所有B/S结果系统的优点,同时又具有https://www.360docs.net/doc/0312233502.html,的高效的优势。 从技术上说,本系统采用了C#编写,充分利用https://www.360docs.net/doc/0312233502.html,强大的组件DATAGRID,结合https://www.360docs.net/doc/0312233502.html, 对任务书中的物流管理的SQL Server2000数据库进行管理。通过本系统可以对数据库执行添加、删除、修改、查询等全面的操作。系统支持分页功能,能支持大量数据的存储。我利用具有高安全性的Cookie作为安全校验的依据,对用户的权限进行审核,提供系统的安全保障。 从功能上说,本系统主要分为2大模块:用户登陆模块和数据操作模块。通过用户登陆模块能对用户身份进行核实和验证,通过数据操作模块能对物流系统的相关信息进行操作,添加删除修改在一个页面内完成,直观简洁。 作为课程设计,本系统达到了设计任务的基本要求,并在其上才用了更先进的语言,提供了更强大的扩展能力和更好的执行效率,作为一个完善的系统的雏形,本系统只要进入软件开发的螺旋法则,不久之后就可以进化为一个成熟的,能让最终用户所接受的系统。 此次课程设计内容则是以c# 作为开发语言,编写https://www.360docs.net/doc/0312233502.html, 程序,c#是一门全新的语言,具有更强大的编辑和操作能力,在此过程中,我又开始了认真的从无到有的学习,通过锲而不舍的实践操作和对各种相关书籍的钻研,终于理解了c#的语言,并迅速开发出了本系统。 在学习和实践的过程中,我充分体会到了c#和.Net技术的强大,在学习的过程中,我认识了几个来自Microsoft 社区的MVP,在通过和他们交流和认真学习他们编写的经验文章后,我已经能更好的理解 .Net 平台的运行机制,从内核这个层次认识到了Microsoft 给作为程序员的我们带来了什么。 本文关于运网物流管理系统的设计是在何迎生老师的指导下完成的。经过一个学期的设计,我们基本完成了任务。设计过程中,何迎生老师给予了我们极大的帮助与鼓励,在此,我们对他的悉心指导表示衷心的感谢! 关键字:运网物流管理,C#,https://www.360docs.net/doc/0312233502.html,, B/S, Web 第一章绪论

随机过程历史

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计(论文) 课程名称:应用随机过程 设计题目:随机过程历史 院系:计算机科学与技术学院 班级:计算机4班 设计者:徐立秋 学号: 11S003124 指导教师:田波平 设计时间: 2011-11至2011-12 哈尔滨工业大学

随机过程的历史 一随机过程概述 随机过程有一族无限多个随机变量组成的序列,是用来描绘一连串随机事件动态关系的序列。随机过程论与其他数学分支如位势论、微分方程、力学及复变函数论等有密切的联系,是在自然科学、工程科学及社会科学各领域研究随机现象的重要工具。随机过程论目前已得到广泛的应用,在诸如天气预报、统计物理、天体物理、运筹决策、经济数学、安全科学、人口理论、可靠性及计算机科学等很多领域都要经常用到随机过程的理论来建立数学模型。随机过程的概念很广泛,其研究几乎包括概率论的全部。 在客观世界中有些随机现象表示的是是事物随机变化的过程,不能用随机变量和速记矢量来描绘,需要用一族无限多个随机变量/矢量来描绘,这就是随机过程。 定义:设(Ω,F,P)是一个概率空间,T是一个实数集。{X(t ,w),t∈T, w ∈Ω}(是对应于t和w的函数)即为定义在T和Ω上的二元函数,若此函数对任意固定的t∈T,X(w, t)是任意(Ω,F,P)上的随机变量,则称{X(t ,w),t∈T, w∈Ω} 是随机过程(Stochastic Process)。 在研究随机过程时人们透过表面的偶然性描述出必然的内在规律并以概率的形式来描述这些规律,从偶然中悟出必然正是这一学科的魅力所在。 二随机过程发展简史 概率论的起源与博弈问题有关,而随机过程这一学科最早是起源于对物理学的研究,如吉布斯、玻尔兹曼、庞加莱等人对统计力学的研究,及后来爱因斯坦、维纳、莱维等人对布朗运动的开创性工作。气体分子运动时,由于相互碰撞等原因而迅速改变自己的位置与速度,其运动的过程是随机的。人们希望知道,运动的轨道有什么性质(是否连续、可微的等等)?分子从一点出发能达到某区域的概率有多大?如果有两类分子同时运动,由于扩散而互相渗透,那么扩散是如何进行的,要经过多久其混合才会变得均匀?又如,在一定时间内,放射性物质中有多少原子会分裂或转化?电话交换台将收到多少次呼唤?机器会出现多少次故障?物价如何波动?这些实际问题的数学抽象为随机过程论提供了研究的课题。 1900年,Bachelier首次将布朗运动用于股票价格的描述。

数字电子秤数字电路课程设计说明书

数字电路课程设计说明书 题目:数字电子秤 学生姓名:李思标 学号: 8080514215 院(系):职业技术学院 专业:机械设计制造及其自动化 指导教师:郭文强 2010 年 7 月 2日

目录 第一节绪论 (3) 1.1本设计的任务和主要内容 (3) 1.2基本工作原理及原理框图………………………………… 第二节硬件电路的设计 (4) 2.1 电阻应变式传感器的选择 (4) 2.2 三运放大电路的设计 (6) 2.3 集成A/D转换器CC7106 (7) 2.4 LED显示电路的设计 (9) 2.5 总体工作电路原理图 (10) 第三节电路元件列表 (11) 第四节设计总结 (12)

数字电子秤设计 第一节绪论 本课程设计的电子秤以单片机为主要部件,利用全桥测量原理,通过对电路输出电压和标准重量的线性关系,建立具体的数学模型,将电压量纲(V)改为重量纲(g)即成为一台原始电子秤。其中测量电路中最主要的元器件就是电阻应变式传感器。电阻应变式传感器是传感器中应用最多的一种,本设计采用全桥测量电路,使系统产生的误差更小,输出的数据更精确。而三运放大电路的作用就是把传感器输出的微弱的模拟信号进行一定倍数的放大,以满足A/D转换器对输入信号电平的要求。CC7106 A/D转换的作用是把模拟信号转变成数字信号,进行模数转换,然后把数字信号输送到显示电路中去,最后由显示电路显示出测量结果。 1.1本设计的任务和主要内容 设计任务:设计一数字电子秤,其技术要求如下: 1)测量范围:0-1.999kg; 0-19.99kg; 0-199.9kg; 0-1999kg。 2)用数字显示被测重量,小数点位置对应不同量程显示。 3)具有自动切换量程功能。 1.2设计思路及原理框图 1.设计思路 1)用电子称称重的过程是把被测物体的重量通过传感器转换成电压信号。由于这一信号通常都非常小,需要进行放大,放大后的模拟信号经模/数变换转变成数字量,再通过译码显示器显示出重量。由于被测物体的重量相差很大,根据不同的测量范围要求,可由电路自由切换量程,同时,显示器的小数点数位对应不同量程而变化,即可实现电子称的要求。 2)称重的准确程度首先取决于传感器输出的信号,电子称的传感器通常使用电桥,它将应变电阻转变成电压信号或电流信号。 基本工作原理框图如下:

二进制振幅键控(2ASK)信号的功率谱分析

Harbin Institute of Technology 随机过程课程设计报告 二进制振幅键控(2ASK)信号的功率谱分析院(系)名称:电子与信息工程学院 学生姓名: 学生学号: 指导教师: 哈尔滨工业大学 2014年11月

摘要 二进制振幅键控(2ASK)是出现最早的、也是最简单的数字调制方式,是研究其他数字调制方式的基础。由于数字基带信号是随机信号,因此2ASK信号也是随机信号,不满足傅里叶变换条件,只能分析其功率谱性质。 以前学习这部分知识的时候,缺乏随机过程的知识,书上直接给出相应的结果,对结果不是很理解。通过随机过程的学习,对随机信号功率谱密度的求解有了比较清楚的了解,于是自己动手推算了一下功率谱密度公式的由来,并通过绘图从理论上对2ASK信号的功率谱进行了分析。在这个过程中,我对随机过程的基础知识有了更进一步的掌握,并对数学在通信中的重要作用有了深刻认识,收获很大。 关键词:二进制振幅键控;功率谱密度;随机过程 目录 一、数字调制简介和问题的提出................................................ 错误!未定义书签。 1、数字调制简介 .................................................................. 错误!未定义书签。 2、问题提出 .......................................................................... 错误!未定义书签。 二、二进制振幅键控(2ASK)基本原理.................................. 错误!未定义书签。 三、2ASK功率谱分析................................................................. 错误!未定义书签。 1、2ASK信号的功率谱密度频域表达式的推导 ............... 错误!未定义书签。 2、2ASK信号的功率谱密度具体表达式 ........................... 错误!未定义书签。 3、2ASK信号的功率谱密度分析 ....................................... 错误!未定义书签。 四、心得体会................................................................................ 错误!未定义书签。参考文献........................................................................................ 错误!未定义书签。

数据库原理与应用课程设计正文论文_大学论文

《数据库原理与应用课程设计》

数据库原理与应用课程设计 任务书 【题目】教务管理系统 【目的】 1、掌握计算机管理信息系统设计的一般方法,主要包括系统分析、系统设计的组织和实施。 2、关系型数据库管理系统的编程技术,并能独立完成一般小系统的程序设计、调试运行等工作。 3、培养把所学知识运用到具体对象,并能求出解决方案的能力。 【要求】 1、每个学生必须独立完成; 2、课程设计时间为1周; 3、整个数据库系统设计,后台数据库必须用SQL Server,前台编程语言任选。 4、学生有事离校必须请假。课程设计期间,无故缺席按旷课处理;缺席时间达四分之一以上者,未按规定上交实验报告的学生,其成绩按不及格处理。 【内容】 1、按照题目教务管理系统进行调研,采集数据,提取实体、实体与实体之间的联系,运用数据库设计方法进行数据库的设计,并插入一定量的实验数据。 2、根据系统的实际要求,以及考核标准来完成对应难度的数据库系统开发。 【考核标准】 (1) 学生用户,通过输入学号、密码进入系统。能修改个人信息,修改密码;能查询课程库所有课程信息,但不能修改;能查询自己的个人成绩,但不能修改(及格要求)。(2) 设置管理员超级用户,通过输入账号、密码进入系统。能查询及修改数据库中的任意表,如用户表、学生信息表、课程库表、成绩表等(中等要求)。 (3) 用户表中追加教师用户。数据库中增加教师教学任务表,教师能根据课程库中的课程,给自己添加、删除、修改教学任务。(良好要求) (4) 完善教务管理系统。学生能看到教师的教学任务,并能做到学生选课;教师对自己上课的课程,能给已选课的学生打成绩(优秀要求)。 注:编程过程中工程文件名必须取自己的学号;程序运行结果中,能打开的各个窗口名必须包含自己的学号,此项没有成绩作0分处理。 【工作内容及工作计划】 序号内容所用时间 1 课题介绍、人员安排、熟悉编程环境1天 2 数据库建立、功能模块设计1天 3 功能模块编程,调试1天 4 功能模块集成,系统调试1天 5 设计结果检查,答辩,成绩评定1天 合计1周

随机过程论文

随机过程在通信中的应用 学院:电气学院 班级:通信11-1 姓名:于敏 学号:201102041009

随机过程在通信中的应用 随着科学的发展,数学在我们日常的通信体系中有着越来越重的地位,因为在科学研究中,只有借助于数学才能精确地描述一个现象的不同量之间的关系,从最简单的加减乘除,到复杂的建模思想等等。其中,随机过程作为数学的一个重要分支,更是在整个通信过程中发挥着不可小觑的作用。 通信就是互通信息。从这个意义上说,通信在远古时代就已经存在。人之间的对话是通信,用手势表达情绪也可以算通信。以后用烽火传递战事情报是通信,快马与驿站传送文件也是通信。但是现在的通信一般指的是电信,国际上称为远程通信(telecommunication),即通过电信号或者光信号传送信息从信息论的角度来说,通信的过程就是不确定度减小的过程。而不确定性就是过程的随机性,所以从这个角度来说通信过程的研究可以归结到对于随机过程特性的研究过程过去对随机现象的研究只是用一两个随机变量来描述,然而现在在工程技术中必须研究动态系统中的随机现象,这需要研究随时间变化的无穷不可数的一族随机变量,即随机过程。通信系统中存在各种干扰和噪声这些干扰和噪声的波形更是随机的、不可预测的,我们称之为随机干扰和随机噪声。当然,尽管随机信号和随机噪声是不可预测的、随机的,但它们还是具有一定的统计规律性。研究随机信号和随机噪声统计规律性恶数学工具是随机过程理论,随机过程是随机信号和随机噪声的数学模型。 随机过程是与时间有关的随机变量,在确定的时刻它是随机变量。随机过程的具体取值称作其实现(样函数),是时间函数,所有实现构成的集合称作随机过程的样函数空间(Ω),所有样函数及其统计特性即构成了随机过程,以大写字母X(t),Y(t)等表示随机过程,以对应的小写字母x(t),y(t)等表示随机过程的样本函数。 在实际的通信过程中,不仅我们用到的信号与噪声是随机信号,而且当我们为无线信道进行数学建模时也必须用到随机过程。所以说只有学好随机过程这一学科,才能为将来从事无线事业打下基础,才能在实际的研究以及工作中,将具体知识应用到实际中,从而获得一定的成果甚至有所创新。 在通信系统中,编码过程分为信源编码和信道编码两种,信源编码是为了压缩信息之间的相关性,最大限度提高传信率,目的在于提高通信效率;而信道编

应用随机过程习题课二

习题 1. 设随机过程{(,),}X t t ω-∞<<+∞只有两条样本函数 12(,)2cos ,(,)2cos ,X t t X t t x ωω==--∞<<+∞ 且1221 (),()33P P ωω==,分别求: (1)一维分布函数(0,)F x 和(,)4F x π ; (2)二维分布函数(0,;,)4F x y π ; (3)均值函数()X m t ; (4)协方差函数(,)X C s t . 2. 利用抛掷一枚硬币一次的随机试验,定义随机过程 1 2 cos ()2t X t πωω?=??出现正面出现反面 且“出现正面”与“出现反面”的概率相等,各为1 2 ,求 1)画出{()}X t 的样本函数 2){()}X t 的一维概率分布,1 (;)2F x 和(1;)F x 3){()}X t 的二维概率分布121 (,1;,)2 F x x 3. 通过连续重复抛掷一枚硬币确定随机过程{()}X t cos ()2 t t X t t π?=? ?在时刻抛掷硬币出现正面 在时刻抛掷硬币出现反面 求:(1)1(,),(1,)2F x F x ; (2)121 (,1;,)2 F x x 4. 考虑正弦波过程{(),0}X t t ≥,()cos X t t ξω=,其中ω为正常数,~(0,1)U ξ. (1)分别求3,,,424t ππππωωωω = 时()X t 的概率密度(,)f t x . (2)求均值函数()m t ,方差函数()D t ,相关函数(,)R s t ,协方差函数(,)C s t . 5. 给定随机过程: ()X t t ξη=+ ()t -∞<<+∞ 其中r. v. (,)ξη的协方差矩阵为1334C ?? = ??? , 求随机过程{(),}X t t -∞<<+∞的协方差函数. 6. 考虑随机游动{(),0,1,2,}Y n n =

相关文档
最新文档