计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计
计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计

一、设计要求

硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。

软件:CMP软件

二、设计目的

1.通过对一个简单计算机的设计,对计算机的基

本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。

2.通过这次课程设计,建立整机的概念,对程序

进行编辑,校验,锻炼理论联系实际的能力。

3.通过本次课程设计熟悉和训练设计思路与实

现方法。

4.通过本次课程设计锻炼团队合作的能力和团

队问题的解决。

三、设计电路及连线

设计电路及连线实验图如下图1-1所示。

图1-1 简单模型机连线图

四、设计说明

本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码):

助记符 机器指令码 说 明 微程序入口地址

IN 0000 0000 “INPUT DEVICE ”中 10

的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11

0010 0000 ×××× ×××× R0→[addr] 12

0011 0000 ×××× ×××× [addr]→BUS 13

0100 0000 ×××× ×××× addr →PC 14

ADD

addr

STA

其中,IN 为单字长(8位),其余为双字长,×××× ××××为addr 对应的二进制地址码。

设计数据通路框图如图1-2所示。微代码定义如表1-1所示。

DR1(74273)

DR2(74273)

ALU-B

R0(74374)AR(74273)

PC (74161)

MEM (6116)

OUTPUT 时序

微控器

IR (74273)

INPUT

ALU(74181)

CE LED-B

W/R

CE

SW-B CE CE

W/R

LDAR

T3

PC-B

LOAD

LDPC T4

W/R

CS0

CS1CS2

LDIR T3

T4

LDR0R0-B LDDR 1T4

T4

LDDR 2CN

M

S3S2S1S0

BUS

地址总线

数据总线

CPU

R1(74374)

T4LDR1R1-B R2(74374)

T4LDR2

R2-B

图 1-2 数据通路图

表1-1

24

23 22 21 20 19 18 17 16

15 14 13 12 11 10

9 8 7

6 5 4 3 2

1 S 3 S

2 S 1 S

0 M

C n

WE

A 9 A

8

A

B

C u A 5 u A 4 u A 3 u A 2 u A 1 u

A

0 A 字段 B 字段

C 字段

1 5 1

4

1

3

0 0 0

0 0 1 LD DRi

0 1 0 LD DR 1

0 1 1 LD DR 2

1 0 0 LDI R

1 0 1 LO AD

1 1 0 LD AR

五、设计步骤

1.设计微程序流程图,如图1-3所示。

运行微程序

01

02

10

IN ADD STA OUT JMP 10 11 12 13 14

PC →AR PC+1

RAM →BUS BUS →IR

P(

SW →R0

PC →AR PC+1

PC →AR PC+1

PC →AR PC+1

PC →AR PC+1

03 07 16

26 01

04 15 17 01

05

25

06 01

06

01

01 控制台

RAM →BUS BUS →AR

RAM →BUS

BUS →DR2

R0→D R1 (DR1)+(DR2)→R0 RAM →BUS BUS →AR

RAM →BUS BUS →AR RAM

→BUS BUS →PC

R0→BUS BUS →RAM

RAM →BUS BUS →DR1

D R1→LED

00 八进制微地址

20

(SWB ,SWA)

21 20

23

24 22 01

30 27

图 1-3 微程序流程图

2. 微指令代码化,如下表1-2所示。

表1-2

P

KWE(KRD(

RP(1

PC →AR PC+1

PC →AR PC+1

CSWD →BUS BUS →DR1 RAM →BUS BUS →DR1

D R1→RAM D R1→LED

微地址S3 S2 S1

S0

M Cn

W

E

A9

A

8

A B C

uA5…

uA0

0 0 0 0 0 0 0 0 0 1 1 000 000 100 01000 0

0 1 0 0 0 0 0 0 0 1 1 110 110 110 00001 0

0 2 0 0 0 0 0 0 0 0 1 100 000 001 00100 0

0 3 0 0 0 0 0 0 0 0 1 110 000 000 00010 0

0 4 0 0 0 0 0 0 0 0 1 011 000 000 00010 1

0 5 0 0 0 0 0 0 0 1 1 010 001 000 00011 0

0 6 1 0 0 1 0 1 0 1 1 001 101 000 00000 1

0 7 0 0 0 0 0 0 0 0 1 110 000 000 00110 1

1 0 0 0 0 0 0 0 0 0 0 001 000 000 00000 1

1 1 0 0 0 0 0 0 0 1 1 110 110 110 00001 1

1 2 0 0 0 0 0 0 0 1 1 110 110 110 00011 1

1 3 0 0 0 0 0 0 0 1 1 110 110 110 00111 0

1 4 0 0 0 0 0 0 0 1 1 110 110 110 01011 0

1 5 0 0 0 0 0 0 1 0 1 000 001 000 00000 1

1 6 0 0 0 0 0 0 0 0 1 110 000 000 001111

1 7 0 0 0 0 0 0 0 0 1 010 000 000 01010 1

2 0 0 0 0 0 0 0 0 1 1 110 110 110 01001 0

2 1 0 0 0 0 0 0 0 1 1 110 110 110 01010 0

2 2 0 0 0 0 0 0 0 0 1 010 000 000 01011 1

2 3 0 0 0 0 0 0 0 1 1 000 000 000 00000 1

2 4 0 0 0 0 0 0 0 0 0 010 000 000 01100 0

2 5 0 0 0 0 0 1 1 1 0 000 101 000 00000

1

2 6 0 0 0 0 0 0 0 0 1 101 000 110 00000 1

2 7 0 0 0 0 0 1 1 1 0 000 101 000 01000 0

3 0 0 0 0 0 0 1 1 0 1 000 101 000 01000 1

3.设计验证程序的内存映像(装入起始地址00H)如下表1-3所示。

表1-3

地址(二进制)内容(二

进制)

助记符说明

0000 0000 0000 0000 IN

将输入数据送R0寄存器

0000 0001 0001 0000 ADD

[0AH]

R0+[0AH]→

R0

0000 0010 0000 1010

0000 0011 0010 0000 STA [0BH] R0→[0BH] 0000 0100 0000 1011

0000 0101 0011 0000 OUT

[0BH]

[0BH]→LE

D

0000 0110 0000 1011

0000 0111 0100 0000 JMP [08H] 00H→PC 0000 1000 0000 0000

0000 1001

0000 1010 0000 0001 输入自定的

数据

0000 1011 求和结果的存储单元

4.建立联机操作文件。

为了从PC机下载工作程序和微程序,需要建立联机操作文件,该文件是普通的文本文件,扩展名为TXT,可用记事本来建立。

源程序如下:

$P0000

$P0110

$P020A

$P0320

$P040B

$P0530

$P060B

$P0740

$P0800

$P0A01

$M00018110 $M0101ED82 $M0200C048 $M0300E004 $M0400B005 $M0501A206 $M06959A01 $M0700E00D $M08001001 $M0901ED83 $M0A01ED87 $M0B01ED8E $M0C01ED96 $M0D028201 $M0E00E00F $M0F00A015 $M1001ED92 $M1101ED94 $M1200A017 $M13018001 $M14002018

$M15070A01

$M1600D181

$M17070A10

$M18068A11

5.调试、执行程序。

首先打开CMP软件,测试端口是否连接成功,然后装入联机操作文件,接着测试程序,测试值R0=FEH,置数据开关状态11111110,根据提示拨动总清开关CLR(0→1)适时清零。在调试过程中,出现了一些问题,例如,出现提示窗口,提示源程序第几行错误,经检查原来是数字0写成了字母O;观察数据流通图,有支路不通,就检查该支路的连线,排查错误。

六、设计结果和体会

1、设计结果

当R0=FEH时,求和结果为FFH,即[0BH]单元中存储值为FFH,LED显示FF。

2、设计体会

此次课程设计,让我对计算机的基本组成、简单运算器的数据传送通路组成、存储器RAM 工作特性及数据的读写方法、时序信号发生电路的组成原理、微程序控制器的设计思路和组成原理、微程序的编制、写入过程有了进一步的了解,也让我觉得自己的动手能力有很大的提高;自信心也增强了,在课程设计过程中通过仔细查阅资料解决了遇到的问题,做到了理论与实践相结合,巩固和深化了自己的知识结构,收获颇多。

七、建议

课程设计可以体现出团队合作程度,紧密合作加上明确分工,,能够大大地提高设计速度,在动手设计之前,最好将设计所需要的数据,程序准备好,为了判断设计结果是否正确,有必要在准备阶段计算理论值。仔细分析指令的每一位,以及微程序流程图,理清思路,在设计时做到胸有成竹。

复杂模型机设计

一、设计要求

硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。

软件:CMP软件

二、设计目的

1.通过对一个简单计算机的设计,对计算机的基

本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。

2.通过这次课程设计,建立整机的概念,对程序

进行编辑,校验,锻炼理论联系实际的能力。

3.通过本次课程设计熟悉和训练设计思路与实

现方法。

4.通过本次课程设计锻炼团队合作的能力和团

队问题的解决。

三、设计电路及连线

设计电路及连线实验图如下图2-1所示。

图2-1 复杂模型机连线图

四、设计说明

在简单模型机的基础上搭接移位控制电路,实现移位控制运算。

新增4条移位运算指令:RL(左环移)、RLC (带进位左环移)、RR(右环移)、RRC(带进位右环移),其指令格式如下:

助记符操作码

汽轮机课程设计说明书..

课程设计说明书 题目:12M W凝汽式汽轮机热力设计 2014年6月28 日

一、题目 12MW凝汽式汽轮机热力设计 二、目的与意义 汽轮机原理课程设计是培养学生综合运用所学的汽轮机知识,训练学生的实际应用能力、理论和实践相结合能力的一个重要环节。通过该课程设计的训练,学生应该能够全面掌握汽轮机的热力设计方法、汽轮机基本结构和零部件组成,系统地总结、巩固并应用《汽轮机原理》课程中已学过的理论知识,达到理论和实际相结合的目的。 重点掌握汽轮机热力设计的方法、步骤。 三、要求(包括原始数据、技术参数、设计要求、图纸量、工作量要求等) 主要技术参数: 额定功率:12MW ;设计功率:10.5MW ; ;新汽温度:435℃; 新汽压力:3.43MP a ;冷却水温:20℃; 排汽压力:0.0060MP a 给水温度:160℃;机组转速:3000r/min ; 主要内容: 1、确定汽轮机型式及配汽方式 2、拟定热力过程及原则性热力系统,进行汽耗量与热经济性的初步计算 3、确定调节级形式、比焓降、叶型及尺寸等 4、确定压力级级数,进行比焓降分配 5、各级详细热力计算,确定各级通流部分的几何尺寸、相对内效率、内功率与整机实 际热力过程曲线 6、整机校核,汇总计算表格 要求: 1、严格遵守作息时间,在规定地点认真完成设计;设计共计二周。 2、按照统一格式要求,完成设计说明书一份,要求过程完整,数据准确。 3、完成通流部分纵剖面图一张(一号图) 4、计算结果以表格汇总

四、工作内容、进度安排 1、通流部分热力设计计算(9天) (1)熟悉主要参数及设计内容、过程等 (2)熟悉机组型式,选择配汽方式 (3)蒸汽流量的估算 (4)原则性热力系统、整机热力过程拟定及热经济性的初步计算 (5)调节级选型及详细热力计算 (6)压力级级数的确定及焓降分配 (7)压力级的详细热力计算 (8)整机的效率、功率校核 2、结构设计(1天) 进行通流部分和进出口结构的设计 3、绘制汽轮机通流部分纵剖面图一张(一号图)(2天) 4、编写课程设计说明书(2天) 五、主要参考文献 《汽轮机课程设计参考资料》.冯慧雯 .水利电力出版社.1992 《汽轮机原理》(第一版).康松、杨建明编.中国电力出版社.2000.9 《汽轮机原理》(第一版).康松、申士一、庞立云、庄贺庆合编.水利电力出版社.1992.6 《300MW火力发电机组丛书——汽轮机设备及系统》(第一版).吴季兰主编.中国电力出版社.1998.8 指导教师下达时间 2014 年6月 15 日 指导教师签字:_______________ 审核意见 系(教研室)主任(签字)

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

模型设计与制作课程标准

模型设计与制作课程标准 Prepared on 22 November 2020

《模型设计与制作》课程标准 学院浙江农业商贸职业学院 系部艺术设计系 教研室环艺设计教研室 教师许灿 《模型设计与制作》课程标准 【课程名称】 模型设计与制作 【适用专业】 高等职业学校会展策划与管理(广告与会展方向)专业 一、前言 (一)课程性质 《模型设计与制作》是会展策划与管理专业(广告与会展方向、展示设计)开设的一门专业课,该课程主要包括运用各种材料进行进行会展模型制作,通过学习让学生掌握会展模型制作的过程和方法,掌握设计软件和雕刻机的使用方法,以及熟悉各种模型制作的材料。 (二)课程设计思路 本课程的总体设计思路是,打破传统学科课程以知识为主线构建知识体系的设计思路,采用以项目操作的实际工作任务为引领,通过任务整合相关知识和技能来设计该课程。 本课程的相关工作任务是通过构想、草图、效果图、制作模型等手段来展示成果,模型更是表现空间设计的直接手段。通过本课的学习掌握模型在设计活动中的作用与意义,及其制作的正确方法和过程。在实践过程中培养独立思维,提出问题和解决问题的能力。为更深入的研究所设计空间提供新的途径和构思表现的方法,为设计的推敲与完善提供技术支持。 本课程教学活动的设计,以培养学生动手操作能力为主线,从而提高学生的直观感受力及创新设计能力。 二、课程目标 在教学中通过理论与实践的训练,使学生懂得学习模型制作的作用与意义,理解并掌握模型制作的基本原理和方法,提高学生对三维空间设计的形态、知识的理解和掌握,培养学生模型制作的基本原理与三维空间表现设计的能力,继而培养学生的创新意识和审美情趣,为专业设计的学习打下扎实的基础。

汽轮机课程设计-闫煜.

银川能源学院电力学院 课程设计任务书 设计题目:300MW亚临界机组轴向推力的计算_ 年级专业:热动(本)1202 班 学生姓名:闫煜 学号: 1210240198 指导教师:于淼

电力学院《课程设计》任务书课程名称:汽轮机原理 说明:1、此表一式三份,院、学生各一份,报送实践部一份。 2、学生那份任务书要求装订到课程设计报告前面。

目录 一、引言 (1) 1、汽轮机课程设计目的 (1) 2、汽轮机课程设计内容与要求 (1) 3、汽轮机课程设计的一般原则 (1) 二、轴向推力的计算 (1) 1、轴向推力 (2) 1.1、冲动式汽轮机的轴向推力 (2) 三、推力轴承的安全系数 (4) 四、计算 (5) 1、求解第一级平均直径 (6) 2、轴向推力的计算 (6) 3、叶根反动度的计算 (7) 4、叶轮反动度 (7) 5、当量隔板漏气面积 (7) 6、叶根齿隙面积A5 (7) 7、平衡孔面积A4 (8) 8、α的计算 (8) 9、β的计算 (8) 10、轮盘面积的计算 (8) 五、汇总 (9) 六、参考文献 (9)

一、引言 汽轮机是以蒸汽为的旋转式热能动力机械,与其他原动机相比,它具有单机功率大、效率、运行平稳和使用寿命长等优点。汽轮机的主要用途是作为发电用的原动机。在使用化石燃料的现代常规火力发电厂、核电站及地热发电站中,都采用汽轮机为动力的汽轮发电机组。汽轮机的排汽或中间抽汽还可用来满足生产和生活上的供热需要。在生产过程中有余能、余热的工厂企业中,还可以应用各种类不同品位的热能得以合理有效地利用。由于汽轮机能设计为变速运行,所以还可用它直接驱动各种从动机械,如泵、风机、高炉风机、压气机和船舶的螺旋桨等。因此,汽轮机在国民经济中起着极其重要的作用。 蒸汽在汽轮机级内流动时,由于各段压力分布的不同,从而产生于轴线平行的轴向推力,气方向与气流在汽轮机内的流动方向相同,使转子产生由高压向移动的趋势。因此,为了保证汽轮机的安全运行,必须进行轴向推力的计算。 1、汽轮机课程设计目的 汽轮机课程设计是对在汽轮机课程中所学到的理论知识的系统总结、巩固和加深;要求掌握汽轮机热力计算及变工况下热力核算的原则、方法和步骤,还要综合各方面的实践经验和理论知识,结合结构强度、调节运行、辅助设备等有关基本知识来分析问题,才能较合理的选定汽轮机设计的基本方案。 2、汽轮机课程设计内容与要求 (1)确定轴向推力的组成 (2)以高压缸冲动级为计算依据,确定级数并分别计算各个级的轴向推力 (3)必须给出各个级的轴向推力的详细计算过程 (4)将数据以表格形式列出 (5) 数据来源:通过给定的机组类型,学生自己查阅资料所需基本数据及公式3、汽轮机课程设计的一般原则 (1)设计过程中要保证数据选择正确,计算正确,绘图清晰美观。 (2)设计成品要求效率高,结构合理,安全可靠,成本低廉。 二、轴向推力的计算

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.360docs.net/doc/085986246.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

汽轮机课设心得总结

汽轮机课设心得总结 经过两个星期的汽轮机课设,对我们而言收获颇丰。整个过程我们都认真完成,其中不免遇到很多问题,经过大家的齐心协力共同克服了它们,不仅从中熟悉了汽轮机的工作原理及流程,而且还获得了许多心得体会。 汽轮机是将蒸汽的热能转换为机械能的回转式原动机,是火电和核电的主要设备之一,用于拖动发电机发电。在大型火电机组中还用于拖动锅炉给水泵。 就凝汽式汽轮机而言,从锅炉产生的新蒸汽经由主阀门进入高压缸,再进入中压缸,再进入低压缸,最终进入凝汽器。蒸汽的热能在汽轮机内消耗,变为蒸汽的动能,然后推动装有叶片的汽轮机转子,最终转化为机械能。 除了凝汽式汽轮机,还有背压式汽轮机和抽汽式汽轮机,背压式汽轮机可以理解为没有低压缸和凝汽器的凝汽式汽轮机,它的出口压力较大,可以提供给供热系统或其它热交换系统。抽汽式汽轮机则是指在蒸汽流通过程中抽取一部分用于供热和或再热的汽轮机。 在设计刚进行时,我们也参考了从研究生那里借来的《设计宝典Xp》,但在使用过程中发现此软件只适用于单列级的计算而不适用于双列级,虽然如此,但我们在计算时也参考了其中的部分步骤。我们这次在设计之前又重新温习了《汽轮机原理》中所学的知识,因为汽轮机的热工转换是在各个级内进行的,所以研究级的工作原理是掌握整个汽轮机工作原理的基础,而级的定义是有一列喷嘴叶栅和紧邻其后的一列动叶栅构成的工作单元。在第一章第七节介绍了级的热力计算示例,书上是以国产N200-12.75/535/535型汽轮机某高压级为例,说明等截面直叶片级的热力计算程序,主要参考了喷嘴部分计算、动叶部分计算、级内损失计算和级效率与内功率的计算。为了保证汽轮机的高效率和增大汽轮机的单机功率就必须把汽轮机设计成多

模型制作实验报告

模型制作实验报告 1、实验目的与要求 通过本次实验练习模型制作,熟悉建筑模型材料的种类、特性,学会使用钢尺、美工刀等模型制作工具,基本掌握模型的制作技法。为将来在箭镞设计课程中使用模型推敲方案打下基础。要求根据课程设计命题,结合自身设计概念制作模型,可以有一定的取舍,不能有大的错误,制作认真仔细,整体模型干净利落。最后完成得模型要求按照自己的设计方案,体块表现清楚,有自己的风格。 2、实验方案: 结合课程设计的进度,在一草方案后制作工作模型,用于推敲建筑环境、建筑体量、材料、色彩等方面要素,学习以制作模型的形式激发创作灵感、推进方案设计。在基本明确建筑设计方案后进行模型制作设计,选用卡纸、PVC板等作为主材,适用选用色纸、瓦楞纸、型材等作为辅材,利用钢尺、美工刀、模型胶等工具制作建筑模型呈现设计方案。 3、实验过程和数据处理: 听取了专业老师的意见后,我使用了pvc板(厚度为2cm)和kt板作为这次作业的模型主要材料。Pvc板作为主模型的材料,因为其比较结实,不容易被破坏,而且表面平滑,外观看起来十分规整。而kt板则作为模型底座的材料,在kt板上容易插入模型花和粘贴模型人,但是kt板不能与502胶水接触,其会被腐蚀。所以在制作模型时,对于底座的粘合,我使用的是u胶,而pvc板的粘合我会根据需要,使用u胶和502胶水。这次制作模型需要用到的工具中,有手术刀,ut刀,直尺、90度尺、切割板u胶、502胶水等。 考虑到这次制作的模型是塑料模型,因此所需用到的工具比较少。而这次制作模型的手法,鉴于我是大一新生,在经济和知识掌握程度的限制上,我是手工制作模型的。在制作模型时,有直接粘合、镶嵌粘合和穿插的步骤。在制作模型时,我曾经遇到因为粘合位置特殊的原因,很难把两块pvc板粘合在一起或者由于柱子太长,不能轻易与pvc板粘合的问题。一开始我是使用u胶粘合的,但后来发现,原来在一些地方,可以用502胶水作粘合剂,但是值得注意的是,在使用502胶水前,应该确认是否这样粘合,一旦粘合错了,分离工作会很难,而且强制分离会破坏pvc板。另外,在制作模型是,我会发现自己设计的建筑,有些地方做起模型来,会有比较大的难度,会花比较多的时间,于是自己会在考虑是否应该对原来的设计方案进行修改,而如何修改,这又是需要慢慢去思考的,因此,在做模型的时候会发现不少的对设计有用或使你感到困惑的东西。在数据处理方面,我认为做模型对数据的处理十分有用,因为当你把设计从二维转化为三维时,你会发现,你所定的数据不适合人体的模度,对于整个场地的迎合十分不适合。当然,在处理数据时,一些建筑规范是不能忽略的,你的数据可能是不可能实现的东西。因此,在数据处理是,要遵守人体的模度、整个场地的迎合和建筑规范来进行。另外,在处理数据时,我一般时先定大范围的数据,在处理小地方的数据的。可能两方面一起处理会比较好,这我会更加留意这一点。而在数据的整理时,对于复杂的数据,我通常是结合场地的情况稍作调整,当你做出一个模型时,1:20或更大的比例模型用于观察这建筑是否适合人的模度,1:100或更小的比例模型用于观察这建筑是否迎合整理环境的。我制作了1:100和1:50的模型进行分析,最后定出了我的模型方案。

汽轮机课程设计zhong

汽轮机课程设计 第一部分:设计题目与任务 题目:汽轮机热力计算与设计 根据给定的汽轮机原始参数来进行汽轮机热力计算与设计: 1、分析与确定汽轮机热力设计的基本参数,这些参数包括汽轮机的容量、进汽参数、转速、排汽压力或冷却水温度、回热加热级数及给水温度、供热汽轮机的供热蒸汽压力等; 2、分析并选择汽轮机的型式、配汽机构形式、通流部分形状及有关参数; 3、拟订汽轮机近似热力过程线和原则性回热系统,进行汽耗率及热经济性的初步计算; 4、根据汽轮机运行特性、经济要求及结构强度等因素,比较和确定调节级的型式、比烩降、叶型及尺寸等: 5、根据通流部分形状和回热抽汽点要求,确定压力级即非调节级的级数和排汽口数,并进行各级比焙降分配; 6、对各级进行详细的热力计算,求出各级通流部分的几何尺寸、相对内效率和内功率,确定汽轮机实际的热力过程线; 7、根据各级热力计算的结果,修正各回热抽汽点压力以符合实际热力过程线的要求,并修正回热系统的热平衡计算; 8、根据需要修正汽轮机热力计算结果. 第二部分:设计要求 1)运行时具有较高的经济性; 2)不同工况下工作时均有高的可靠性; 3)在满足经济性和可靠性要求的同时,还应考虑汽轮机的结构紧凑、系统简单、布置合理、成本低廉、安装和维修方便及零部件通用化、系列标准化等因素。 第三部分:设计内容 一、汽轮机热力计算与设计原始参数 主蒸汽压力3.43Mpa,主蒸汽温度435℃;

冷却水温度20℃,给水温度160℃; 额定功率e P :23MW,调节级速比a x :0.24 二、汽轮机设计基本参数确定 1、汽轮机容量 额定功率e P :23MW 2、进气参数 汽轮机初压P 0=3.43Mpa 汽轮机初温t0=435℃ 3、汽轮机转速n=3000rad/min 4、排气压力 汽轮机排气压力Pc=0.005Mpa 冷却水温tc1= 20℃ 5、回热级数及给水温度 给水温度tfw=160℃ 回热级数Z=3级 三、选型、配汽及流通部分的设计计算 1、汽轮机型号 由排气压力和冷却水温可知汽轮机为:凝气式汽轮机。 型号:N23-3.43/435 2、配汽方式 汽轮机的配汽机构又称调节方式,与机组的运行要求密切相关。通常的喷嘴配汽、节流配汽、变压配汽以及旁通配汽四种方式。喷嘴配汽是国产汽轮机的主要配汽方式,由已知参数以及设计要求选用喷嘴配汽方式。 四、拟定汽轮机近似热力过程曲线和原则性热力系统,进行汽耗量、回热系统 热平衡及热经济性的初步计算 1、近似热力过程曲线的拟定 (1)进排汽机构及连接管道的各项损失 蒸汽流过各阀门及连接管道时,会产生节流损失和压力损失。下表列出这些 损失通常的取值范围。

热力发电厂课程设计计算书详解

热力发电厂课程设计

指导老师:连佳 姓名:陈阔 班级:12-1 600MW 凝汽式机组原则性热力系统热经济性计算 计算数据选择为A3,B2,C1 1.整理原始数据的计算点汽水焓值 已知高压缸汽轮机高压缸进汽节流损失:δp 1=4%,中低压连通管压损δp 3=2%, 则 )(MPa 232.232.24)04.01('p 0=?-=; p ’4=(1-0.02)x0.9405=0.92169; 由主蒸汽参数:p 0=24.2MPa ,t 0=566℃,可得h0=3367.6kJ/kg; 由再热蒸汽参数:热段: p rh =3.602MPa ,t rh =556℃, 冷段:p 'rh =4.002MPa ,t 'rh =301.9℃, 可知h rh =3577.6kJ/kg ,h'rh =2966.9kJ/kg ,q rh =610.7kJ/kg 。 1.2编制汽轮机组各计算点的汽水参数(如表4所示)

1.1绘制汽轮机的汽态线,如图2所示。

1.3计算给水泵焓升: 1.假设给水泵加压过程为等熵过程; 2.给水泵入口处水的温度和密度与除氧器的出 口水的温度和密度相等; 3.给水泵入口压力为除氧器出口压力与高度差产生的静压之和。 2.全厂物质平衡计算 已知全厂汽水损失:D l =0.015D b (锅炉蒸发量),锅炉为直流锅炉,无汽包排污。 则计算结果如下表:(表5) 3.计算汽轮机各级回热 抽汽量 假设加热器的效率η=1

(1)高压加热器组的计算 由H1,H2,H3的热平衡求α1,α2,α3 063788.0) 3.11068.3051()10791.1203(111fw 1=--?==ητααq 09067.06 .9044.2967)6.9043.1106(063788.0/1)1.8791079(1h h -212fw 221=--?--?=-=q d w d w )(αηταα154458 .009067.0063788.0212=+=+=αααs 045924 .02.7825.3375) 2.7826.904(154458.0/1)1.7411.879(h h -332s23fw 3=--?--=-=q d d w w )(αηταα200382 .0154458.0045924.02s 33=+=+=αααs (2)除氧器H4的计算 进除氧器的份额为α4’;176 404.0587.43187.6) 587.4782.2(200382.0/1)587.4741.3(h h -453s34fw 4=--?--=-=q w w d )(’αηταα 进小汽机的份额为αt 根据水泵的能量平衡计算小汽机的用汽份额αt

汽轮机课程设计指导书-经典版

第一部分汽轮机课程设计指导书 一、课程设计的目的与要求 1.系统地总结、巩固并应用《汽轮机原理》课程中已学过的理论知识,重点掌握汽轮机热力设计的方法、步骤。 2.汽轮机热力设计的任务,一般是按照给定的设计条件,确定流通部分的几何参数,力求获得较高的相对内效率。就汽轮机课程设计而言其任务通常是指各级几何尺寸的确定及级效率和内功率的计算。 3.汽轮机设计的主要内容与设计程序大致包括: (1) 分析并确定汽轮机热力设计的基本参数,如汽轮机容量、进汽参数、转速、排汽压力或循环水温度、回热加热级数及给水温度、供热汽轮机的供汽压力等。 (2) 分析并选择汽轮机的型式、配汽机构型式、通流部分形状及有关参数。 (3) 拟定汽轮机近似热力过程线和原则性热力系统,进行汽耗量与热经济性的初步计算。 (4) 根据汽轮机运行特性、经济要求及结构强度等因素,比较和确定调节级的型式、比焓降、叶型及尺寸等。 (5) 根据流通部分形状和回热抽汽压力要求,确定压力级的级数,并进行各级比焓降分配。 (6) 对各级进行详细的热力计算,求出各级流通部分的几何尺寸、相对内效率和内功率,确定汽轮机的实际热力过程线。 (7) 根据各级热力计算的结果,修正各回热抽汽点压力以符合实际热力过程线的要求。 (8) 根据需要修正热力计算结果。 (9) 绘制流通部分及纵剖面图。 4.通过设计对整个汽轮机的结构作进一步的了解,明确主要部件在整个机组中的作用、位置及相互关系。 5.通过设计了解并掌握我国当前的技术政策和国家标准、设计资料等。 6.所设计的汽轮机应满足以下要求: (1) 运行时具有较高的经济性。 (2) 不同工况下工作时均有高的可靠性。 (3) 在满足经济性和可靠性要求的同时,还应考虑到汽轮机的结构紧凑、系统简单、布局合理、成本低廉、安装与维修方便以及零部件通用化、系列标准化等因素。 7.由于课程设计的题目接近实际,与当前国民经济的要求相适应,因而要求设计者具有高度的责任感,严肃认真。应做到选择及计算数据精确、合理、绘图规范,清楚美观。 二、课程设计题目 以下为典型常规题目,也可以设计其他类型的机组。 机组型号: B25-8.83/0.981 机组型式:多级冲动式背压汽轮机 1

热力发电厂课程设计计算书

热 力 发 电 厂 课 程 设 计 指导老师:连佳 姓名:陈阔 班级:12-1

600MW 凝汽式机组原则性热力系统热经济性计算 计算数据选择为A3,B2,C1 1.整理原始数据的计算点汽水焓值 已知高压缸汽轮机高压缸进汽节流损失:δp 1=4%,中低压连通管压损δp 3=2%, 则 )(MPa 232.232.24)04.01('p 0=?-=; p ’4=(1-0.02)x0.9405=0.92169; 由主蒸汽参数:p 0=24.2MPa ,t 0=566℃,可得h0=3367.6kJ/kg; 由再热蒸汽参数:热段: p rh =3.602MPa ,t rh =556℃, 冷段:p 'rh =4.002MPa ,t 'rh =301.9℃, 可知h rh =3577.6kJ/kg ,h'rh =2966.9kJ/kg ,q rh =610.7kJ/kg 。 1.2编制汽轮机组各计算点的汽水参数(如表4所示)

1.1绘制汽轮机的汽态线,如图2所示。 1.假设给水泵加压过程为等熵过程; 2.给水泵入口处水的温度和密度与除氧器的出 口水的温度和密度相等; 3.给水泵入口压力为除氧器出口压力与高度差 产生的静压之和。 2.全厂物质平衡计算 已知全厂汽水损失:D l=0.015D b(锅炉蒸发量),锅炉为直流锅炉,无汽包排污。 则计算结果如下表:(表5)

3.计算汽轮机各级回热抽汽量 假设加热器的效率η=1 (1)高压加热器组的计算 由H1,H2,H3的热平衡求α1,α2,α3 063788.0) 3.11068.3051() 10791.1203(111fw 1=--?== ητααq 09067 .06 .9044.2967)6.9043.1106(063788.0/1)1.8791079(1h h -2 12fw 22 1 =--?--?= -= q d w d w )(αηταα154458 .009067.0063788.0212=+=+=αααs 045924 .02 .7825.3375) 2.7826.904(154458.0/1)1.7411.879(h h -3 32s23fw 3=--?--= -= q d d w w )(αηταα200382.0154458.0045924.02s 33=+=+=αααs (2)除氧器H4的计算 进除氧器的份额为α4’; 176 404.0587.4 3187.6) 587.4782.2(200382.0/1)587.4741.3(h h -4 53s34fw 4=--?--= -= q w w d )(’αηταα 进小汽机的份额为 αt 根据水泵的能量平衡计算小汽机的用汽份额αt 1 .31)(4t =-pu mx t h h ηηα 即 056938 .09 .099.0)8.25716.3187(1 .31=??-=t α 0.1011140.0569380.044173t 44=+=+=ααα’ 根据除氧器的物质平衡,求αc4 αc4+α’4+αs3=αfw 则αc4=1-α’4-αs3=0.755442 表6 小汽机参数表

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

内训师如何做好课程设计开发

内训师如何做好课程设计开发 一、从内训师模型来讲,一个优秀的课程必须要具备三个特点, 1.内容易懂 课程的内容易懂,就是让学员听起来能够接受,这是课程设计必须要遵循的一个原则。 2.结构简单 课程的结构简单,指的是课程的整体结构应当简洁、清晰,让学员能够一目了然、容易理解。 3.呈现优雅 课程呈现优雅,就是要让学员听起来感到赏心悦目。 二、学员最易接受的课程结构 三大课程结构:精彩而吸引人的“虎头”、漂亮修长的“龙身”和干净利落的“豹尾”。 1.课程开场设计——虎头 虎头即课程的开场设计主要包括以下内容,如表1所示。 2.课程精华讲解——龙身 作为课程的精华讲解部分,内训师在设计“龙身”时应当注意以下几点:

(1)使听众对课程内容有一个全局性的了解 (2)就要适当地引入一些案例 (3)逻辑清晰,结构明了 (4)讲授的信息点尽量少 流程法和要素法 流程法。内训师在授课时通常都有一定的流程,如先讲解,中间做游戏和互动,最后总结。 要素法。要素法是指课程要具备三个关键要素,即内容易懂、结构简单、呈现优雅。 课程的龙身部分要想设计得非常精彩,必须具备四个元素: 一是理论知识点; 二是案例; 三是图表和工具; 四是教学视频。 3.课程结尾收场——豹尾 课程结尾的收场就是豹尾,豹尾有以下特点: 简洁明快、干净利落 豹尾要简洁明快、干净利落。需注意的是,结尾虽然力求简单,但要有内容。 在课程结尾时,内训师一定要用一个案例进行说明,且所使用的案例一定要非常经典和精彩。 三、如何讲好课程中的“龙身”部分 龙身是整个课程最为重要的主体部分,包含三部分内容,如表2所示:

四、课程讲解要素比例分析 课程的讲解主要由呈现、声音表达和内容三大结构组成 1.呈现 所谓呈现,指的是所讲授的东西,包括知识观点、案例、图表、素材、视频等元素。呈现在课程讲解中所占比例达50%。 2.声音表达 声音表达是课程讲解的另一个要素,占38%的比例。 要拥有一个有魅力的嗓音 要掌握正确的发声方法 要有自己的标准口头禅 3.内容 内容即理论内容,在课程讲解中只占7%。 五、关注课程信息句的使用 在授课过程中,内训师必须关注课程信息句的使用,具体来说包括: 1.告诉学员你对主题的观点 2.告诉学员这是最核心的部分,是整个演讲(授课)的魂 3.强化信任关系,确保不偏离主题,确保散的东西有一个统一点 4.一个信息句就像一个简短的宣言,使学员立刻了解你所讲述的观 点 5.从结论开始,金字塔思维 六、应用“强主线”设计课程

汽轮机课程设计报告

汽轮机课程设计报告 姓名: 学号: 班级: 学校:华北电力大学

汽轮机课程设计报告 一、课程设计的目的、任务与要求 通过设计加深巩固《汽轮机原理》中所学的理论知识,了解汽轮机热力设计的一般步骤,掌握设计方法。并通过设计对汽轮机的结构进一步了解,明确主要零件的作用与位置。具体要求就是按给定的设计条件,选取有关参数,确定汽轮机通流部分尺寸,力求获得较高的汽轮机效率。 二、设计题目 机组型号:B25-8.83/0.981 机组型式:多级冲动式背压汽轮机 新汽压力:8.8300Mpa 新汽温度:535.0℃ 排汽压力:0.9810Mpa 额定功率:25000.00kW 转速:3000.00rpm 三、课程设计: (一)、设计工况下的热力计算 1.配汽方式:喷嘴配汽 2.调节级选型:单列级 3.选取参数: (1)设计功率=额定功率=经济功率 (2)汽轮机相对内效率ηri=80.5% (3)机械效率ηm=99.0% (4)发电机效率ηg=97.0% 4.近似热力过程线拟定 (1)进汽节流损失ΔPo=0.05*Po 调节级喷嘴前Po'=0.95*Po=8.3885Mpa (2)排汽管中的压力损失ΔP≈0 5.调节级总进汽量Do的初步估算 由Po、to查焓熵图得到Ho、So,再由So、Pc查Hc。 查得Ho=3474.9375kJ/kg,Hc=2864.9900kJ/kg 通流部分理想比焓降(ΔHt(mac))'=Ho-Hc=609.9475 kJ/kg Do=3.6*Pel/((ΔHt(mac))'*ηri*ηg*ηm)*m+ΔD Do=3.6*25000.00/(609.9475*0.805*0.970*0.990)*1.05+5.00=205.4179(kJ/kg) 6.调节级详细热力计算 (1)调节级进汽量Dg Dg=Do-Dv=204.2179t/h (2)确定速比Xa和理想比焓降Δht 取Xa=0.3535,dm=1100.0mm,并取dn=db=dm 由u=π*dm*n/60,Xa=u/Ca,Δht=Ca^2/2

热力发电厂课程设计样本

热力发电厂 课程设计计算书 题目: 600MW凝汽式机组全厂原则性热力系统计算 专业: 火电厂集控运行 班级: 火电062班 学号: 姓名: 王军定 指导教师: 周振起 目录

1.本课程设计的目的..................... 错误!未定义书签。 2.计算任务............................. 错误!未定义书签。 3.计算原始资料......................... 错误!未定义书签。 4.计算过程............................. 错误!未定义书签。 4.1全厂热力系统辅助性计算........... 错误!未定义书签。 4.2原始数据整理及汽态线绘制......... 错误!未定义书签。 4.3全厂汽水平衡..................... 错误!未定义书签。 4.4各回热抽汽量计算及汇总........... 错误!未定义书签。 4.5汽轮机排汽量计算与校核........... 错误!未定义书签。 4.6汽轮机汽耗量计算................. 错误!未定义书签。 5.热经济指标计算....................... 错误!未定义书签。 5.1.汽轮机发电机组热经济性指标计算 .. 错误!未定义书签。 5.2.全厂热经济指标计算.............. 错误!未定义书签。 6.反平衡校核........................... 错误!未定义书签。 7.参考文献............................. 错误!未定义书签。

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

纸模课程设计

一、小学模型制作的意义、特点与内容 1.小学生模型活动和小制作的意义 (1)有利于激发学生立志为我国的航空、航海、车辆及科技事业的发展作贡献我们的祖先在航空、航海、车辆等方面的发明和创造,对人类世界作出过巨大的贡献。 在航空领域,我们的祖先制作出了种类繁多的风筝、竹晴蜒、孔明灯和木鸟模型。它们在飞机发明的过程中起了重要的作用。 在航海领域,我们的祖先创造了人类历史上最原始的船——独木舟。明代伟大的航海家郑和率领庞大船队七下西洋,无论在船只数和人数上,都超过了哥伦布船队和麦哲伦船队,成为世界航海史上的一大创举。我国四大发明之一的指南针应用于航海领域,成为世界航海史上划时代的事件。 在车辆方面,我国是世界上制造和使用车辆最早的国家,相传在5000年前就开始使用战车。指南车和记里鼓车以它们复杂的机械结构,占据了车辆发展史上的一个重要地位。 但是,我国在航空、航海、车辆等方面同工业发达的国家相比,还有不少差距。开展航空、航海、车辆和小制作活动,可以使小学生了解我国航空、航海、车辆发展的历史和现状,激发学生从小立志献身于祖国的航空、航海、车辆事业,为四化建设作出贡献。 (2)有利于开拓小学生的视野,把学到的知识运用到实际中去 模型活动和小制作,需要运用许多科学知识,涉及到小学自然常识中的许多问题。如要了解飞机的飞行,就要运用空气方面的知识,航海要运用海洋知识等等。同时还要用到许多其他学科的知识。通过模型活动和小制作,使已经学过的知识得到加深和理解,对于还没有学习到的知识,会引起小学生强烈的求知欲,扩大视野,为今后的学习打下良好的基础。 (3)有利于培养小学生各种能力,发展智力 模型活动和小制作内容十分丰富。在活动中不但能学到许多知识,而且能培养学生的各种能力。通过参观访问,可以引导学生认真观察、勤于思考、仔细分析,培养观察能力和思维能力。通过设计制作,可以启发学生结合运用所学知识、勇于实践,培养创造能力和动手能力。通过开展竞赛,可以吸引学生参加组织工作,提高社会活动能力等等。同时,经过一定的制作实践,可以鼓励小学生自己设计,自己收集资料,独立完成制作,以培养创造型人才。 二、小学生模型活动和小制作的特点 (1)多样性 模型活动和小制作种类繁多。从构造上看,有纸木结构的简单模型和小制作,也有要用几千个零件、制作要求较高的无线电遥控模型;从性能上看,有只能观赏的实体模型,有只能运动短距离、几秒钟的模型,也有运动长距离、几十小时的模型。模型活动和小制作的多样性,为不同年龄的个人、不同条件的学校提供了广泛的选择余地。 (2)趣味性 不同种类的模型和小制作,有不同的性能,制作出来的飞机能飞、轮船能开、汽车能跑、小制作能动会亮,这就使得模型活动和小制作趣味无穷。通过制作和调整,使模型和小制作的性能不断提高,更对学生具有强烈的吸引力。 (3)实践性 模型活动和小制作最明显的特点就是实践性强。任何一件模型或小制作,都要通过学生的亲自制作、装配、亲自检查、调试才能完成。做这些工作,又需要开动脑筋,手脚勤快,从而有利于培养学生的独立工作能力。 (4)探索性 在制作模型活动和小制作时,重复工作较少,即使重新制作,也要求比上一次制作得更好,这就需要不断改进工艺。制作好的模型和小制作,还需要进行不断调试,以达到最佳状

汽轮机课程设计(调节级强度)

能源与动力工程学院汽轮机课程设计 题目:600MW超临界汽轮机调节级叶片强度核算时间:2006年11月13日-2006年12月4日 学生姓名:杨雪莲杨晓明吴建中单威李响梅闫指导老师:谭欣星 热能与动力工程036503班

2006-12-4 600MW超临界汽轮机调节级叶片强度核算 [摘要]本次课程设计是针对600MW超临界汽轮机调节级叶片强度的校核, 并主要对第一调节阀全开,第二调节阀未开时的调节级最危险工况对叶片强度的校核。 首先确定了最危险工况下的蒸汽流量。部分进汽度选择叶型为HQ-1型,喷嘴叶型HQ-2型。根据主蒸汽温度确定叶片的材料为Cr12WmoV马氏体-铁素体钢。 其次,计算了由于汽轮机高速旋转时叶片自身质量和围带质量引起的离心力和蒸汽对叶片的作用力。 选取了安全系数,计算屈服强度极限、蠕变强度极限和持久强度极限,三者中的最小值为叶片的许用用力,叶片拉弯应力的合成并校核,确定叶片是否达到强度要求。 最后,论述了调节级的变化规律即压力-流量之间的关系。 一、课程设计任务书 课程名称:汽轮机原理 题目:600MW超临界汽轮机调节级叶片强度核算 指导老师:谭欣星 课题内容与要求 设计内容: 1、部分进汽度的确定,选择叶型 2、流经叶片的蒸汽流量计算蒸汽对叶片的作用力计算 3、叶片离心力计算 4、安全系数的确定 5、叶片拉弯合成应力计算与校核 6、调节级后的变化规律 设计要求: 1、运行时具有较高的经济性 2、不同工况下工作时均有高的可靠性 已知技术条件与参数: 1、600MW 2、转速:3000r/min 3、主汽压力:24.2Mpa; 主汽温度:566C 4、单列调节级,喷嘴调节 5、其他参数由高压缸通流设计组提供 参考文献资料: 1、汽轮机课程设计参考资料冯慧雯,水利电力出版社,1998 2、汽轮机原理翦天聪,水利电力出版社 3、叶轮机械原理舒士甑,清华大学出版社,1991

相关文档
最新文档