模拟乘法器调幅(AMDSBSSB)

模拟乘法器调幅(AMDSBSSB)
模拟乘法器调幅(AMDSBSSB)

高频电子实验报告

实验名称:

模拟乘法器调幅(AM、DSB、SSB)

实验目的:

1. 掌握用集成模拟乘法器实现全载波调幅、抑制载波双边带调幅和音频信号单边带调幅的方法。

2. 研究已调波与调制信号以及载波信号的关系。

3. 掌握调幅系数的测量与计算方法。

4. 通过实验对比全载波调幅、抑制载波双边带调幅和单边带调幅的波形。

5. 了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。

实验内容:

1、实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。

2、实现抑制载波的双边带调幅波。

3、实现单边带调幅。

实验仪器:

1、信号源模块1块

2、频率计模块1块

3、4 号板1块

4、双踪示波器1台

5、万用表1块

实验原理:

1、调幅电路的分类

按调制信号的强度:高电平调幅(集电极调幅、基极调幅)、低电平调幅(平方律调幅、斩波调幅)

按调幅波的形式:普通调幅电路、双边带调幅电路、单边带调幅电路、残留边带调幅电路

2、调幅波的数学表达式及频谱

调制信号:V Ω =V Ωmcos Ωt 载波信号:Vc=Vcmcos ωct

已调波:

V o(t)= V o(1+ mcos Ωt)cos ωct

普通调幅电路 抑制载波调幅波

调幅系数或调幅度(通常写成百分数)

%

100min

max min

max ?+-=

V V V V m

3、MC1496双平衡四象限模拟乘法器

其内部电路图和引脚图如图所示。其中V1、V2与V3、V4组成双差分放大

器,V5、V6组成的单差分放大器用以激励V1~V4。V7、V8及其偏置电路组成差分放大器V5、V6的恒流源。 引脚8与10接输入电压VX ,1与4接另一输入电压Vy ,输出电压V0从引脚6与12输出。

Vx 和Vy 皆为小信号时,由于三对差分放大器(VT1,VT2,VT3,VT4及VT5,VT6)均工作在线性放大状态,则输出电压V 可近似表示为

y x y x T

L

V V K V V V R I V 02002=≈

4、实验电路

用MC1496集成电路构成的调幅器电路图

图中W1用来调节引出脚1、4之间的平衡,器件采用双电源方式供电(+

12V,-8V),所以5脚偏置电阻R15接地。电阻R1、R2、R4、R5、R6为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。

2、3脚外接1KΩ电阻,以扩大调制信号动态范围。当电阻增大,线性范围增大,但乘法器的增益随之减小。

载波信号加在V1-V4的输入端,即引脚8、10之间;载波信号Vc经高频耦合电容C1从10脚输入,C2为高频旁路电容,使8脚交流接地。

调制信号加在差动放大器V5、V6的输入端,即引脚1、4之间,调制信号VΩ经低频偶合电容E1从1脚输入。

已调制信号取自双差动放大器的两集电极(即引出脚6、12之间)输出。设载波信号的表达式为Vc(t)=Vcmcosωct

调制信号的表达式为VΩ(t)=VΩmcosΩt

则调幅信号的表达式为V0(t)= Vcmcosωct+ mvcmcosΩtcosωct

实验步骤:

连线框图

1.抑制载波振幅调制

1)P1端输入载波信号(P3端不加信号),调节平衡电位器W1(改变输入电压Vy 的直流分量),使输出信号VO(t)(TP6)中载波输出幅度最小(此时MC1496的1、4脚电压相等)。

2)再从P3端输入音频信号(正弦波),逐渐增加输入音频信号频率,观察TP6处最后出现抑制载波的调幅信号。(将音频信号频率调至最大,即可测得清晰的抑制载波调幅波)

观测记录输入输出信号波形及频谱

2.全载波振幅调制

1)先将P1端输入载波信号,调节平衡电位器W1,使输出信号VO(t)(TP6)

中有载波输出(此时V1与V4不相等, 即MC1496的1、4脚电压)。

2)再从P3端输入音频信号(正弦波),逐渐增大音频信号频率,TP6最后出现如图11-4所示的有载波调幅信号的波形,记下AM波对应Vmax和Vmin,并计算调幅度m。

3.抑制载波单边带振幅调制

1)步骤同抑制载波振幅调制,将音频信号频率调到10KHz,从P5(TP7)处观察输出波形。

2)比较全载波调幅、抑制载波双边带调幅和抑制载波单边带调幅的波形。实验数据:

1.抑制载波振幅调制

输出信号波形及频谱

2.全载波振幅调制

输出信号波形

测量结果:Vmax =400mV Vmin=160mV 计算调幅度

%

100min

max min

max ?+-=

V V V V m =(400-160)/(400+160)*100%=42.8%

3.抑制载波单边带振幅调制

输出信号波形及频谱

4.画出调幅实验中m<100%、m =100%、m > 100% 的调幅波形 m<100%调幅波形

m=100%调幅波形

m>100%调幅波形

实验心得:

通过这次实验,掌握了用集成模拟乘法器实现全载波调幅、抑制载波双边及单边带调幅的方法。通过研究已调波与调制信号以及载波信号的关系,掌握了调幅系数的测量与计算方法。并通过实验对比全载波调幅、抑制载波双边及单边带调幅的波形,了解了模拟乘法器的工作原理,掌握了调整与测量其特性参数的方法。

5模拟乘法混频

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。 2、用实验箱的信号源做本振信号,将频率L f =8.7MHz (幅度V LP-P =300mV

模拟乘法器调幅(AMDSBSSB)

高频电子实验报告 实验名称: 模拟乘法器调幅(AM、DSB、SSB) 实验目的: 1. 掌握用集成模拟乘法器实现全载波调幅、抑制载波双边带调幅和音频信号单边带调幅的方法。 2. 研究已调波与调制信号以及载波信号的关系。 3. 掌握调幅系数的测量与计算方法。 4. 通过实验对比全载波调幅、抑制载波双边带调幅和单边带调幅的波形。 5. 了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 实验内容: 1、实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 2、实现抑制载波的双边带调幅波。 3、实现单边带调幅。 实验仪器: 1、信号源模块1块 2、频率计模块1块 3、4 号板1块 4、双踪示波器1台 5、万用表1块 实验原理: 1、调幅电路的分类 按调制信号的强度:高电平调幅(集电极调幅、基极调幅)、低电平调幅(平方律调幅、斩波调幅) 按调幅波的形式:普通调幅电路、双边带调幅电路、单边带调幅电路、残留边带调幅电路

2、调幅波的数学表达式及频谱 调制信号:V Ω =V Ωmcos Ωt 载波信号:Vc=Vcmcos ωct 已调波: V o(t)= V o(1+ mcos Ωt)cos ωct 普通调幅电路 抑制载波调幅波 调幅系数或调幅度(通常写成百分数) % 100min max min max ?+-= V V V V m 3、MC1496双平衡四象限模拟乘法器 其内部电路图和引脚图如图所示。其中V1、V2与V3、V4组成双差分放大

器,V5、V6组成的单差分放大器用以激励V1~V4。V7、V8及其偏置电路组成差分放大器V5、V6的恒流源。 引脚8与10接输入电压VX ,1与4接另一输入电压Vy ,输出电压V0从引脚6与12输出。 Vx 和Vy 皆为小信号时,由于三对差分放大器(VT1,VT2,VT3,VT4及VT5,VT6)均工作在线性放大状态,则输出电压V 可近似表示为 y x y x T L V V K V V V R I V 02002=≈ 4、实验电路 用MC1496集成电路构成的调幅器电路图 图中W1用来调节引出脚1、4之间的平衡,器件采用双电源方式供电(+

模拟乘法器实验

3.12模拟乘法器 一.实验目的 1. 了解模拟乘法器的构成和工作原理。 2. 掌握模拟乘法器在运算电路中的运用。 二.实验原理 集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 1. 模拟乘法器的基本特性 模拟乘法器是一种完成两个模拟信号(连续变化的电压或电流)相乘作用的电子器件,通常具有两个输入端和一个输出端,电路符号如图3-12-1所示。 u x u y o 图3-12-1 模拟乘法器的电路符号 若输入信号为x u , y u ,则输出信号o u 为: o u =k y u x u 式中: k 为乘法器的增益系数或标尺因子,单位为V 1 . 根据两个输入电压的不同极性,乘法输出的极性有四种组合,用图3-12-2所示的工作象限来说明。 图 3-12-2 模拟乘法器的工作象限 若信号x u 、y u 均限定为某一极性的电压时才能正常工作,该乘法器称为单象限乘法器;若信号x u 、y u 中一个能适应正、负两种极性电压,而另一个只能适应单极性电压,则为二象限乘法器;若两个输入信号能适应四种极性组合,称为四象限乘法器。

2. 集成模拟乘法器 集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍BG314集成模拟乘法器。 (1) BG314内部结构如图3-12-3所示,外部电路如图3-12-4所示: 1 8 43 7 6 5142+ 9 121110 13 7 图3-12-3 BG314内部电路

模拟乘法器的应用-低电平调幅

模拟乘法器的应用 ——低电平调幅 一、实验目的 1、掌握集成模拟乘法器的工作原理及其特点 2、进一步掌握集成模拟乘法器(MC1596/1496)实现振幅调制、同步检波、混频、倍频的电路调整与测试方法 一、实验内容 1、普通振幅调制 2、用模拟乘法器实现平衡调制 三、实验仪器 低频信号发生器高频信号发生器频率计稳压电源万用表示波器 四、实验原理 1、MC1496/1596 集成模拟相乘器 集成模拟乘法器是继集成运算放大器后最通用的模拟集成电路之一,是一种多用途的线性集成电路。可用作宽带、抑制载波双边带平衡调制器,不需要耦合变压器或调谐电路,还可作为高性能的SSB乘法检波器、AM调制解调器、FM解调器、混频器、倍频器、鉴相器等,它与放大器相结合还可以完成许多数学运算,如乘法、除法、乘方、开放等。 MC1496的内部电路继引脚排列如图所示 MC1496型模拟乘法器只适用于频率较低的场合,一般工作在1MHz以下的频率。双差分对模拟乘法器MC1496/1596的差值输出电流为

MC1595是差值输出电流为 式中,为乘法器的乘法系数。 MC1496/1596使用时,VT 1至VT 6的基极均需外加偏置电压。 2.乘法器振幅调制原理 X 通道两输入端8和10脚直流电位均为6V ,可作为载波输入通道;Y 通道两输入端1和4脚之间有外接调零电路;输出端6和12脚外可接调谐于载频的带通滤波器;2和3脚之间外接Y 通道负反馈电阻R 8。若实现普通调幅,可通过调节10k Ω电位器RP 1使1脚电位比4脚高错误!未找到引用源。,调制信号错误!未找到引用源。与直流电压错误!未找到引用源。叠加后输入Y 通道,调节电位器可改变错误!未找到引用源。的大小,即改变调制指数M a ;若实现DSB 调制,通过调节10k Ω电位器RP 1使1、4脚之间直流等电位,即Y 通道输入信号仅为交流调制信号。为了减小流经电位器的电流,便于调零准确,可加大两个750Ω电阻的阻值,比如各增大10Ω。 MC1496线性区好饱和区的临界点在15-20mV 左右,仅当输入信号电压均小于26mV 时,器件才有良好的相乘作用,否则输出电压中会出现较大的非线性误差。显然,输入线性动态范围的上限值太小,不适应实际需要。为此,可在发射极引出端2脚和3脚之间根据需要接入反馈电阻R 8=1k Ω,从而扩大调制信号的输入线性动态范围,该反馈电阻同时也影响调制器增益。增大反馈电阻,会使器件增益下降,但能改善调制信号输入的动态范围。 MC1496可采用单电源,也可采用双电源供电,其直流偏置由外接元器件来实现。 1脚和4脚所接对地电阻R 5、R 6决定于温度性能的设计要求。若要在较大的温度变化范围内得到较好的载波抑制效果(如全温度范围-55至+125),R 5、R 6一般不超过51Ω;当工作环境温度变化范围较小时,可以使用稍大的电阻。 R 1-R 4及RP 1为调零电路。在实现双边带调制时,R 1和R 2接入,以使载漏减小;在实现普通调幅时,将R 1及R 2短路(关闭开关S 1、S 2),以获得足够大的直流补偿电压调节范围,由于直流补偿电压与调制信号相加后作用到乘法器上,故输出端产生的将是普通调幅波,并且可以利用RP 1来调节调制系数的大小。 5脚电阻R 7决定于偏置电流I 5的设计。I 5的最大额定值为10mA ,通常取1mA 。由图可1 21562()()()22T y T i i i th th V R V υυυ=-≈

模拟乘法器AD834的原理与应用

模拟乘法器AD834的原理与应用 1.AD834的主要特性 AD834是美国ADI公司推出的宽频带、四象限、高性能乘法器,其主要特性如下: ●带符号差分输入方式,输出按四象限乘法结果表示;输出端为集电极开路差分电流结构,可以保证宽频率响应特性;当两输入X=Y=±1V时,输出电流为±4mA; ●频率响应范围为DC~500MHz; ●乘方计算误差小于0.5%; ●工作稳定,受温度、电源电压波动的影响小; ●低失真,在输入为0dB时,失真小于0.05%; ●低功耗,在±5V供电条件下,功耗为280mW; ●对直通信号的衰减大于65dB; ●采用8脚DIP和SOIC封装形式。 2.AD834的工作原理 AD834的引脚排列如图1所示。它有三个差分信号端口:电压输入端口X=X1-X2和Y=Y1-Y2,电流输出端口W=W1-W2;W1、W2的静态电流均为8.5mA。在芯片内部,输入电压先转换为差分电流(V-I转换电阻约为280Ω),目的是降低噪声和漂移;然而,输入电压较低时将导致V-I转换线性度变差,为此芯片内含失真校正电路,以改善小信号V-I转换时的线性特性。电流放大器用于对乘法运算电路输出的电流进行放大,然后以差分电流形式输出。 AD834的传递函数为: W=4XY (X、Y的单位为伏特,W的单位为mA) 3.应用考虑 3.1 输入端连接

尽管AD834的输入电阻较高(20kΩ),但输入端仍有45μA的偏置电流。当输入采用单端方式时,假如信号源的内阻为50Ω,就会在输入端产生1.125mV的失调电压。为消除该失调电压,可在另一输入端到地之间接一个与信号源内阻等值的电阻,或加一个大小、极性可调的直流电压,以使差分输入端的静态电压相等;此外,在单端输入方式下,最好使用远离输出端的X2、Y1作为输入端,以减小输入直接耦合到输出的直通分量。 应当注意的是,当输入差分电压超过AD834的限幅电平(±1.3V)时,系统将会出现较大的失真。 3.2 输出端连接 采用差分输出,可有效地抑制输入直接耦合到输出的直通分量。差分输出端的耦合方式,可用RC耦合到下一级运算放大器,进而转换为单端输出,也可用初级带中心抽头的变压器将差分信号转换为单端输出。 3.3 电源的连接 AD834的电源电压允许范围为±4V~±9V,一般采用±5V。要求VW1和VW2的静态电压略高于引脚+VS上的电压,也就是+VS引脚上的电去耦电阻RS应大于W1和W2上的集电极负载电阻RW1、RW2。例如,RS为62Ω,RW1和RW2可选为49.9Ω,而+V=4.4V,VW1=VW2=4.6V,乘法器的满量程输出为±400mV。 引脚-VS到负电源之间应串接一个小电阻,以消除引脚电感以及去耦电容可能产生的寄生振荡;较大的电阻对抑制寄生振荡有利,但也会使VW1和VW2的静态工作电压降低;该电阻也可用高频电感来代替。 4.应用实例 AD834主要用于高频信号的运算与处理,如宽带调制、功率测量、真有效值测量、倍频等。在某航空通信设备扩频终端机(如图2所示)的研制中,笔者应用AD834设计了扩频信号调制器和扩频信号接收AGC电路。

20151060042-贾炜光-混频器仿真实验报告

混频器仿真实验 姓名:贾炜光 学号:20151060042 学院:信息学院 专业:通信工程 指导教师:谢汝生

一、实验目的 (1)加深对混频理论方面的理解,提高用程序实现相关信号处理的能力; (2)掌握multisim实现混频器混频的方法和步骤; (3)掌握用muitisim实现混频的设计方法和过程,为以后的设计打下良好的基础。 二.实验原理 混频器将天线上接收到的射频信号与本振产生的信号相乘,cosαcosβ=[cos(α+ β)+cos(α-β)]/2 可以这样理解,α为射频信号频率量,β为本振频率量,产生和差频。当混频的频率等于中频时,这个信号可以通过中频放大器,被放大后,进行峰值检波。检波后的信号被视频放大器进行放大,然后显示出来。由于本振电路的振荡频率随着时间变化,因此频谱分析仪在不同的时间接收的频率是不同的。 混频是指将信号从一个频率变换到另外一个频率的过程 ,其实质是频谱线性搬移的过程。在超外差接收机中 ,混频的目的是保证接收机获得较高的灵敏度 ,足够的放大量和适当的通频带 ,同时又能稳定地工作。混频电路包括三个组成部分 : 本机振荡器、非线性器件、带通滤波器。[1] 由于非线性元件( 如二极管、三极管、场效应管等) 的作用,混频过程中会产生很多的组合频率分量 : p f L ±qf S 。一般来讲 ,其中满足需要的仅仅是 f I =f L -f S 或者是f I =f S -f L 。前者产生中频的方式称为高差式混频 , 后者称为低差式混频。在这里 ,混频过程中产生的一系列组合频率分量经过带通滤波器即可以选择输出相应的中频 ,而其他的频率分量会得到抑制。

乘法器应用电路

第6章 集成模拟乘法器及其应用 6.1集成模拟乘法器 教学要求: 1.掌握集成模拟乘法器的基本工作原理; 2.理解变跨导模拟乘法器的基本原理; 3.了解单片集成模拟乘法器的外部管脚排列及外接电路特点。 一、集成模拟乘法器的工作原理 (一)模拟乘法器的基本特性 模拟乘法器是实现两个模拟量相乘功能的器件,理想乘法器的输出电压与同一时刻两个输入电压瞬时值的乘积成正比,而且输入电压的波形、幅度、极性和频率可以是任意的。其符号如下图所示,K 为乘法器的增益系数。 1.模拟乘法器的类型 理想乘法器—对输入电压没有限制, u x = 0 或 u y = 0 时,u O = 0,输入电压的波形、幅度、极性和频率可以是任意的 。 实际乘法器—u x = 0 , u y = 0 时,u O 1 0,此时的输出电压称为输出输出失调电压。u x = 0,u y 1 0 (或 u y = 0,u x 1 0)时,u O 1 0,这是由于u y (u x )信号直接流通到输出端而形成的,此时 的输出电压为u y (u x )的输出馈通电压。 (二)变跨导模拟乘法器的基本工作原理 变跨导模拟乘法器是在带电流源差分放大电路的基础上发展起来的,其基本原理电路如下图所示。

在室温下,K为常数,可见输出电压u 与输入电压u y、u x的乘积成正比,所以差分放大电路具有乘法功 O 能。但u y必须为正才能正常工作,故为二象限乘法器。当u Y较小时,相乘结果误差较大,因I C3随u Y而变,其比值为电导量,称变跨导乘法器 . 二、单片集成模拟乘法器 实用变跨导模拟乘法器由两个具有压控电流源的差分电路组成,称为双差分对模拟乘法器,也称为双平 衡模拟乘法器。属于这一类的单片集成模拟乘法器有MC1496、MC1595等。MC1496内部电路如下图所示。

模拟乘法器及其应用

模拟乘法器及其应用

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated multiplier can be achieved between two unrelated analog multiplication is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

Protel课程设计模拟乘法器调幅电路

目录 1 模拟乘法器电路的原理及设计 (1) 1.1 课程设计性质 (1) 1.2 课程设计目的 (1) 1.3 课程设计内容及要求 (1) 1.4 课程设计基本原理 (1) 1.4.1 基本原理: (1) 1.4.2 集成模拟乘法器MC1496 (2) 1.4.3 幅度调制 (5) 1.4.4 设计原理图说明 (5) 2 Protel绘制原理图 (6) 2.1 模拟乘法器调幅电路原理图的绘制 (6) 2.2 Protel具体绘制步骤 (6) 2.3 模拟乘法器调幅电路元件布局 (10) 2.4 电路原理图 (10) 3 模拟乘法器调幅电路PCB制作 (11) 3.1 PCB简要说明 (12) 3.2 封装 (12) 3.3 布局与自动布线 (13) 3.4 自动布线结果: (15) 3.5 设置敷铜 (16) 4 总结体会 (18) 参考文献 (19)

1 模拟乘法器电路的原理及设计 1.1 课程设计性质 综合设计性试验,本课程设计涉及的主要学科分支为通信电子线路。 1.2 课程设计目的 1. 掌握用集成模拟乘法器实现全载波 调幅、抑止载波双边带调幅的方法。研究已调 波与调制信号以及载波信号的关系。 2. 通过实验对比全载波调幅、抑止载波双边带调幅波形。 3. 了解并掌握模拟乘法器(MC 1496)的工作原理,掌握调整与测量其特性参数的方 法 4. 熟悉并巩固Protel 软件画原理图,以及Multisum 仿真软件进行仿真,独立完整地 设计一定功能的电子电路,以及仿真和调试等的综合能力。 1.3 课程设计内容及要求 1. 绘制具有一定规模、一定复杂程度的电路原理图*.sch (自选)。可以涉及模拟、数字、高频、单片机等等电路。 2. 绘制电路原理图相应的双面印刷版图*.pcb 。 本课设内容与要求:主要利用MC 1496设计幅度调制器,在已知电源电压为 +12V 和-12V 下,工作频率MHz f 100≈,设计幅度调制器,要求输出功率:mW P O 50≥,效率%50>η 1.4 课程设计基本原理 1.4.1 基本原理: 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由实验箱的高频信号源产生的10MHz 高频信号,利用DDS 信号发生器输出1KHz 的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。

基于BG314乘法器调幅电路的Multisim仿真.docx

基于BG314乘法器调幅电路的Multisim 仿真

0引言 在无线通信系统屮,为了将信号从发射端传输到接收端,必须进行调制和解调。振幅调制是调制的一?种,其原理框图如下。它是利用调制信号去控制高频率的载波信号,使载波的振幅随调制信号的变化而变化。其调制过程是把调制信号 的频谱从低频段搬移到载频两侧,即产牛了新的频率分量,通常采用具有相乘特 性的非线性器件都可以实现调幅。本文通过Multisim软件仿真基于模拟乘法器 BG314的调幅电路系统。 1模拟乘法器BG314 BG314是在MCI596基础上发展出的MCI595的国内型号。其原理电路如下图所示:

经过分析可知, BG314具有如下特点: 1. 输入电压只包含两个输入电压乘积项,没有多余的成分; 2. 乘积系数与外接负载电阻R 成正比,与外接反馈电阻&和R 、成反比,并与 恒流源?成反比; 3?通过平衡差分对的补偿作用,乘积系数与晶体管参数U 「无关,不受温度变 化的影响; 4.输入电压IL 和Uy 既可以是正值,也可以是负值,故称为四象限模拟乘法器。 它的输入山和Uy,输出U 。均可达±10V 很大的线性动态范围。 2振幅调制器的仿真测试 下图是用BG314乘法器构成的调幅电路的仿真图。其屮109端口接入高频载 波,104接入低频的调制波;图屮电位器起着平衡调节的作用,它控制着输出载 波分量的泄漏,当电位器匕完全调平衡时,载漏接近为零,可以调成双边带振 幅调制电路。 12V 51 kQ 在输入端加20mv/l()kHz 的调制波和25mV/750kHz 的载波,调节滑动变阻器观察输出 20m Vrms 10kHz 0° Ext Trig 1椚 *1 5 TOZ TO9 106 100nF 108 1010 ro : 2TO12 TO11 2s 4 0 ______ I2S ——? ------- /\AAr- 8.2kQ roio 7 T °.U, g ——/WV- 8.2kQ 3 >>> O k 3 51 kQ 3.3kQ 3.3kQ 13 g ◎ i 104 105 § I XI ,3kQ 25mVrms 750kHz 750Q 17 :750a 18

模拟乘法器调幅AM、DSB、SSB实验报告

模拟乘法器调幅(AM、DSB、SSB)实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

十六位硬件乘法器电路设计报告

课程名称电子设计自动化 题目十六位硬件乘法器电路 院系班级信息学院11电子信息工程A班姓名 学号 指导老师凌朝东 2013 年 12 月 5 日

题目名称: 十六位硬件乘法器电路 摘要: 设计一个16位硬件乘法器电路.要求2位十进制乘法,能用LED数码管同时显示乘数,被乘数和积的值.本设计利用Quartus II软件为设计平台,通过移位相加的乘法原理:即从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。经软件仿真和硬件测试验证后,以达到实验要求。

目录 1.题目名称 (2) 2.摘要 (2) 3.目录 (3) 4.正文 (4) 4.1. 系统设计 (4) 4.1 设计要求 (4) 4.2 系统设计方案 (4) 4.2 单元电路设计 (4) 4.2.1十进制计算模块 (5) 4.2.2 BCD码转二进制模块 (5) 4.2.3 8位右移寄存器模块 (6) 4.2.4 8位加法器模块 (7) 4.2.5 1乘法器multi_1模块 (7) 4.2.6 16位移位寄存器reg_16模块 (8) 4.2.7 16位二进制转BCD码B_BCD模块 (9) 4.2.8 8位乘法器multi_8x8顶层设计 (10) 4.3 软件设计 (12) 4.3.1设计平台和开发工具 (12) 4.3.2程序流程方框图 (13) 4.4 系统测试 (14) 4.1仿真分析 (14) 4.2硬件验证 (15) 5. 结论 (15) 6. 参考文献 (15) 7. 附录 (15)

4.正文 4.1系统设计 1.1设计要求 题目要求设计一个16位硬件乘法器电路.要求2位十进制乘法;能用LED数码管同时显示乘数,被乘数和积的信息.设置一个乘法使能端,控制乘法器的计算和输出. 1.2系统设计方案 此设计问题可分为乘数和被乘数输入控制模块,乘法模块和输出乘积显示模块基本分. 乘数和被乘数的输入模块使输入的十进制数转化为二进制数输入乘法模块,乘法模块利用移位相加的方法将输入的两组二进制数进行相乘,并将16位乘积输出到乘积输出显示模块.显示模块将输入的二进制数按千,百,十,个位分别转化为十进制数输出. 乘数和被乘数的输入可用数据开关K1~K10分别代表数字1,2,…,9,0,用编码器对数据开关K1~K10的电平信号进行编码后输入乘法器进行计算.但此方案所用硬件资源较多,输入繁琐,故不采取. 方案二是利用硬件箱自带16进制码发生器,由对应的键控制输出4位2进制构成的1位16进制码,数的范围是0000~1111,即0H~FH.每按键一次,输出递增1,输出进入目标芯片的4位2进制数将显示在该键对应的数码管. 乘数和被乘数的输入模块将16进制码的A~F码设计成输出为null.使得减少了无用码的输入. 两数相乘的方法很多,可以用移位相加的方法,也可以将乘法器看成计数器,乘积的初始值为零,每一个时钟周期将乘数的值加到积上,同时乘数减一,这样反复执行,直到乘数为零.本设计利用移位相加的方法使得程序大大简化. 系统总体电路组成原理图如下图所示: 4.2单元电路设计

模拟乘法器1496实验报告

实验课程名称:_高频电子线路

五.实验原理与电路设计仿真 1、集成模拟乘法器1496的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍MC1496集成模拟乘法器。 (1)MC1496的内部结构 MC1496 是目前常用的平衡调制/解调器。它的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图如图1(a)(b)所示。 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 启动multisim11程序,Ctrl+N新建电路图文件,按照MC1496内部结构图,将元器件放到电子工作平台的电路窗口上,按住鼠标左键拖动,全部选中。被选择的电路部分由周围的方框标示,表示完成子电路的选择。为了能对子电路进行外部连接,需要对子电路添加输入/输出。单击Place / HB/SB Connecter 命令或使用Ctrl+I 快捷操作,屏幕上出现输入/输出符号,

模拟乘法器调幅(AM、DSB、SSB)

模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1. 掌握用集成模拟乘法器实现全载波调幅、抑止载波双边带调幅和单边带调幅的方法。 2. 研究已调波与调制信号以及载波信号的关系。 3. 掌握调幅系数的测量与计算方法。 4. 通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5. 了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1. 调测模拟乘法器MC1496正常工作时的静态值。 2. 实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3. 实现抑止载波的双边带调幅波。 4. 实现单边带调幅。 三、实验原理及实验电路说明 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器,其内部电路图和引脚图如图11-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 图11-1 MC1496的内部电路及引脚图 2)静态工作点的设定 (1)静态偏置电压的设置 静态偏置电压的设置应保证各个晶体管工作在放大状态,即晶体管的集-基极间的电压应大于或等于2V,小于或等于最大允许工作电压。根据MC1496的特性参数,对于图11-1所示的内部电路,应用时,静态偏置电压(输入电压为0时)应满足下列关系,即:

混频

模拟乘法混频实验报告 一、实验目的 (1)了解集成混频器的工作原理。 (2)了解混频器中的寄生干扰。 二、实验原理 混频,又称变频,也是一种频谱的线性搬移过程,它是使信号自某一个频率 变换成另一个频率。完成这种功能的电路称为混频器(或变频器)。混频器是频谱线性搬移电路,是一个六端网络。它有两个输入电压,输入信号S u 和本地振荡信号L u , 输出信号为I u ,称为中频信号,其频率是C f 和L f 的差频或和频,称为中频I f ,I L C f f f =± (同时也可采用谐波的差频或和频)。由此可见,混频器在频域上起着减(加)法器的作用。 混频器的输入信号S u 是高频已调波、本振L u 是正弦波信号,中频信号也是 已调波,除了中心频率与输入信号不同外,由于是频谱的线性搬移,其频谱结构与输入信号S u 的频谱结构完全相同。表现在波形上,中频输出信号与输入信号的包络形状相同,只是填充频率不同(内部波形疏密程度不同)。 混频器是超外差接收机中的关键部件。采用超外差技术后,将接收信号混频到一固定中频,放大量基本不受接收频率的影响, 这样,频段内信号的放大一致性较好,灵敏度可以做得很高,选择性也较好。 设输入到混频器中的输入已调信号S u 和本振电压L u 分别为 cos cos S S C u U t t ω=Ω cos L L L u U t ω= 这两个信号的乘积为 L s L c L s L L c L c cos cos cos 1 cos [cos()cos()] 2 S u u U U t t t U U t t t ωωωωωω=Ω=Ω++-

若中频I L c f f f =-,经带通滤波器取出所需边带,可得中频电压为 cos cos I I I u U t t ω=Ω 下图为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 R7MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R 12(820Ω)、R 13(820Ω)组成平衡电路,F 2为4.5MHz 选频回路。本实验中输入信号频率为S f =4.2MHz ,本振频率L f =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压S u 和本振电压L u 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。 干扰是由于混频器不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜象干扰。 三、实验内容 (1)用高频信号发生器做本振信号,将频率8.7L f MHZ =(幅度U LP-P =300mV 左右)的本振信号从J8处输入(本振输入处)。 4.19s f MHZ =(幅度U SP-P =100mV 左右)的高频信号(由3号板晶振提供)从相乘混频器的输入端J7输入,用示波器观察TH8和TH9处波形。

模拟乘法混频实验报告

模拟乘法混频实验报告 姓名: 学号: 班级: 日期:

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。

振幅调制器(利用乘法器)

振幅调制器(利用乘法器) 一、研究目的 1.弄清用集成模拟乘法器实现全载波调幅和抑制载波双边带调幅的方法与过程,并研究已调波与二个输入信号的关系。 2.掌握测量调幅系数的方法。 3.通过实验中波形的变换,学会分析实验现象。 二、基本原理 1.普通调幅波 振幅调制是用需传送的信息(调制信号)去控制高频载波的振幅,使其随调制信号线性变化。若载波信号电压为,调制信号为。 则普通调幅波的振幅为: 普通调幅波的数学表示式为: 若 单频调幅波的振幅为: 称为包络函数。 则单频调幅波的数学表示式为:

其中为调幅指数(调幅度),为比例系数。普通调幅波的波形如图5-22所示。 图1普通调幅波的波形 可以看出,已调幅波的包络形状与调制信号一样。从调幅波的波形上看出包络的最大值和最小值分别为: 故可得 图2 过调制调幅波形 普通调幅时;如果,则已调波包络形状与调制信号不一样,这种情况称为过调制,过调制的波形如图5-23所示。

载波分量并不包含信息,调制信号的信息只包含在上下边频内。实际上,调制信号是包含多个频率的复杂信号,如调幅广播所传送的语音信号频率约为50Hz至4.5kH Z,调制后,各个语音频率产生各自的上边频和下边频,迭加后形成上边频带和下边频带,且上、下边频幅度相等且成对出现。 调幅过程实质上是一种频谱搬移过程。经过调制后,调制信号的频谱由低频被搬移到载频附近,成为上、下边频带。 2.抑制载波的双边带调幅 因为载波不包含信息,为了减小不必要的功率浪费,可以只发射上、下边频,而不发射载波,称为(抑制载波的双边带调幅信号)用DSB表示。这种信号的其数学表示式为 双边带调幅信号的振幅为,而普通调幅波高频信号的振幅为,显 然双边带的振幅有正有负,而普通调幅波在时振幅不可能出现负值。单频调制的双边带调幅波各信号波形如图5-24所示。 图3双边带调幅信号的波形 双边带信号的包络仍然是随调制信号变化的, 但它的包络已不能完全准确地反映低频调制信号的变化规律。双边带信号在调制信号的负半周,已调波高频与原载波反相,调制信号的正半周,已调波高频与原载频同相;双边带信号的高频相位在调制电压过零点处跳变180度。另外, 双边带调幅波和普通调幅波所占有的频谱宽度是相同的,为2Fmax。 因为双边带信号不包含载波,所以发送的全部功率都载有信息,功率有效利用率高。 3.单边带调幅 双边带调幅波两个边带都包含调制信号的信息,所以可以进一步把其中的一个边带抑制掉,而只发射一个边

相关文档
最新文档