集成电路测试原理及方法

集成电路测试原理及方法
集成电路测试原理及方法

H a r b i n I n s t i t u t e o f T e c h n o l o g y

集成电路测试原理及方法简介

院系:电气工程及自动化学院

姓名: XXXXXX 学号: XXXXXXXXX 指导教师: XXXXXX 设计时间: XXXXXXXXXX

摘要

随着经济发展和技术的进步,集成电路产业取得了突飞猛进的发展。集成电路测试是集成电路产业链中的一个重要环节,是保证集成电路性能、质量的关键环节之一。集成电路基础设计是集成电路产业的一门支撑技术,而集成电路是实现集成电路测试必不可少的工具。

本文首先介绍了集成电路自动测试系统的国内外研究现状,接着介绍了数字集成电路的测试技术,包括逻辑功能测试技术和直流参数测试技术。逻辑功能测试技术介绍了测试向量的格式化作为输入激励和对输出结果的采样,最后讨论了集成电路测试面临的技术难题。

关键词:集成电路;研究现状;测试原理;测试方法

目录

一、引言 (4)

二、集成电路测试重要性 (4)

三、集成电路测试分类 (5)

四、集成电路测试原理和方法 (6)

4.1.数字器件的逻辑功能测试 (6)

4.1.1测试周期及输入数据 (8)

4.1.2输出数据 (10)

4.2 集成电路生产测试的流程 (12)

五、集成电路自动测试面临的挑战 (13)

参考文献 (14)

一、引言

随着经济的发展,人们生活质量的提高,生活中遍布着各类电子消费产品。电脑﹑手机和mp3播放器等电子产品和人们的生活息息相关,这些都为集成电路产业的发展带来了巨大的市场空间。2007年世界半导体营业额高达2.740亿美元,2008世界半导体产业营业额增至2.850亿美元,专家预测今后的几年随着消费的增长,对集成电路的需求必然强劲。因此,世界集成电路产业正在处于高速发展的阶段。

集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。

集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。

二、集成电路测试重要性

随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。

如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。

作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。

一款新的集成电路芯片被设计并生产出来,首先必须接受验证测试。在这一阶段,将会进行功能测试、以及全面的交流(AC)参数和直流(DC)参数的测试等,也可能会探测芯片的内部结构。通常会得出一个完整的验证测试信息,如芯片的工艺特征描述、电气特征(DC参数、AC参数、电容、漏电、温度等测试条件)、时序关系图等等。通过验证测试中的参数测试、功能性测试、结构性测试,可以诊断和修改系统设计、逻辑设计和物理设计中的设计错误,为最终规范(产品手册)测量出芯片的各种电气参数,并开发出测试流程。

当芯片的设计方案通过了验证测试,进入生产阶段之后,将利用前一阶段设计好的测试流程进行生产测试。在这一阶段里,测试的目的就是对被测芯片进行“Pass”或“Fail”判断。由于要每一片芯片进行生产测试,所以测试成本是这一阶段的首要问题。出于此种目的,测试的效率很关键,生产测试生产没有验证测试那么全面,测试通常所采用的测试向量集不会包含过多的测试向量,但是必须有足够高的模型化故障的覆盖率以满足质量上的要求。

三、集成电路测试分类

依照器件开发和制造阶段、采用的工艺技术、测试项目种类以及待测器件等的不同,测试技术可以分为很多种类。器件开发阶段的测试包括:

1.晶圆测试(Wafer Test):对裸露的、尚未切割的每颗晶圆进行探针测试。测试过程中,要让测试仪的探针与晶粒上的节电接触,测试晶粒的电气特性不合格的晶粒会被标上记号。探针卡的阻抗匹配和延时问题必须加以考虑,以便于时序调整和矫正。

2.生产测试:晶圆上的芯片经过封装后,对成品进行全面的电性能测试。

3.老化测试:通过生产性测试的产品并不是完全一致的,在实际应用当中,有些会很快失效,而有些会能长时间正常工作。老化测试是通过一个长时间的连续或周期性的测试使不耐用的器件失效,从而确保老化测试后器件的可靠性。老化测试分为静态老化测试和动态老化测试。静态老化测试是在给器件提供供电电压下,提高器件的工作温度,对其寿命进行测试。动态老化测试是在静态老化测试的基础上施加激励。

4.质量控制测试:为确保生产产品的质量,对准备出厂的合格器件进行抽样测试,确保良品的合格率。

目前,集成电路针对不同的应用场合分为民用标准级、工业标准级和军用标准级别,不同的级别参数测试的标准高低不同。图1为集成电路一般的测试流程:

图1 测试流程

四、集成电路测试原理和方法

通常的按测试项目种类分主要包括:

1.逻辑功能测试:根据被测器件的真值表,设计向量,对器件逻辑功能进行测试。

2.直流参数测试:在DUT的引脚上施加电流或电压,测出具体的参数数值。测试项目包括:开路/短路测试,输出驱动电流测试,漏电电流测试,电源电流测试,转换电平测试等。

4.1.数字器件的逻辑功能测试

结合体图2,逻辑功能测试是旨在于检查被测器件在类似实际使用的环境下是否能实现其预期逻辑功能的一类测试,也就是我们常说的功能测试。功能测试根据被测器件的真值表、状态方程、测试图形来测试器件的逻辑功能。功能测试是全集的,测试向量集不会包含多余的测试向量,但必须有足够高的故障覆盖率。在电路中传输的逻辑“1/0”

是由带定时特性和电平特性的波形,与波形形状、脉冲宽度、脉冲边缘或斜率以及上升

沿和下降沿的位置都有关系。功能测试关注的重点是测试图形产生的速度、边沿定时控制的特性、输入/输出控制和屏蔽选择。

参照被测器件(DUT)的器件手册,考虑各个方面的性能,必须仔细检查下列项的准确值:

①被测器件电源电压最小值/最大值;

② VOL/VOH(输出电压);

③ VIL/VIH(输入电压);

④ IOL/I OH (输出负载电流);

⑤动态电流负载参考电平VREF;

⑥测试频率/周期;

⑦输入信号时序(时钟/建立时间/保持时间/控制信号);

⑧输入信号波形编码方式;

⑨输出时序(在周期内何时对输出进行采样);

⑩向量序列(向量文件内的开始/停止位置)。

从以上可以看出,逻辑功能测试中需要配置大量的资源信息,主要由两大块组成,一是测试向量文件,另外一块是包含测试指令的主测试程序。测试向量代表了测试待测器件所需的激励输入和期望输出的逻辑状态。主测试程序设定测试速率、引脚部件电平值、输入通道的编码格式、波形和时序等所必需的信息。从向量存储器里输出的数据与时序,编码格式以及电平数据结合在一起,通过引脚电路施加给被测器件。输入的测试数据就包含测试向量、输入信号时序、输入信号格式化编码、输入电平组等。

执行功能测试时,设定必要的初始程序、合理的电平和电流值和定时条件后,测试系统逐个周期的给DUT提供激励,同时在一个周期内对DUT的输出进行监测,输出信号与测试向量表示的期望值相互比较,如果输出引脚输出的逻辑状态与期望不相符合,则功能测试失效。

对输出响应的检测有两种方法。

(1)比较法:

输入激励同时应用于被测电路和一个称为金器件(设为无故障)的相同器件,比较两者输出响应即可判断被测电路正确性。这种比较法一般适用于比较简单的标准中小规模(SSI、MSI)电路的测试。

(2)存储响应法:

结合图2,在计算机的控制下,被测器件的测试集存放在测试系统高速缓冲存储器中。测试时,测试图形根据测试主频逐排读出,输入激励顺次施加于被测器件,逐拍与期望响应作为比较。如果比较结果全部一致,则证明器件功能合格;否则称器件功能失效。这种方法涉及大量测试数据的存储和读出操作,但它具有相当的灵活性,也适用于时序电路的测试。该方法的优点是可以根据测试要求,在确保一定的测试可接受的前提下,将一个很长的测试集进行压缩,这样不仅节省了存储空间,而且加快了测试速度,因此

存储响应原理为众多测试系统所采用。测试的顺序为测试矢量→被测电路→与标准响应比较→结果分析。

图2 存储响应法

4.1.1测试周期及输入数据

(1)测试周期

测试周期是测试器件过程中的工作频率,为每一条测试向量所持续的时间。功能测试建立时序的第一步是定义测试周期的时序关系。

(2)输入数据

激励给DUT的数据是含有时序和电平信息的,一般由以下因数构成:

①测试向量;

②输入信号格式化编码组;

③输入信号电平组;

④输入信号时序组。

激励给DUT的输入信号是以测试向量数据形式存储的逻辑“1/0”,而代表逻辑“1/0”的电平则由电子引脚中的VIH/VIL参考电平规定的。输入信号要求设置为包含唯一格式化编码方式和设定时序更为复杂的数据形式,主程序中会包含这些信息并通过相应的代码实现设置。

(3)输入信号格式

根据DUT输入引脚的特性,设定其输入信号的编码格式以完成功能测试,使用得当还可以保证规格书定义的所有交流参数被测试。信号格式与测试向量、时沿设定及输入电平组合起来作为DUT的输入信号波形。图3给出了一些信号格式的简单描述。

图3 信号格式化编码

① NRZ(Non Return to Zero,不返回):

代表存储于向量存储器的实际数据,它不含有时沿信息,只在每个周期的起始点(T0)发生变化。

② DNRZ(Delayed Non Return to Zero,延迟不返回):

顾名思义,它和NRZ一样代表存储于向量存储器的数据,只是周期中数据的转变点不在T0。如果当前周期和前一周期的数据不同,DNRZ会在预先定义的前延处发生跳变。

③ RZ(Return to Zero,归零码):

当数据为逻辑“1”时提供一个正向脉冲,数据为逻辑“0”时则保持为低状态。RZ 信号含有前(上升)沿和后(下降)沿这两个时间沿。当相应引脚的所有向量都为逻辑“1”时,用RZ格式则等于提供正向脉冲的时钟,脉宽是可以调节的。一些上升沿有效的信号,如芯片的片选(CS)信号,会要求使用RZ编码格式。

④ RO(Return to One,归一码):

当数据为逻辑“0”时提供一个负向脉冲,数据为逻辑“1”时则保持高状态。当相应引脚的所有向量都为逻辑“0”时,RO格式提供了负向脉冲的时钟。一些下降沿有效的信号,如芯片的使能(/OE)信号,会要求使用RO编码格式。

⑤ SBC(Surround By Complement,补码环绕):

当前后周期的数据不同时,信号在一个周期内将跳变3次,信号更为复杂。首先在T0点处翻转电平,等待预定的延迟时间后,在定义的脉冲宽度内表现真实的向量数据,最后再次翻转电平并在周期内剩下的时间保持。SBC是运行测试向量15时唯一能同时保证信号建立(setup)和保持(hold)时间的信号格式,也被称为XOR格式。

(4)输入信号时序

测试周期确定了,周期内各输入信号的编码格式及时沿点也就可以确定了。通常来说,输入信号有两类:数据信号和控制信号。数据信号在控制信号设定的时间点处锁存数据到器件内部。第一个要确定的是数据信号的建立/保持时间和控制信号的有效时沿,这些信息将决定各输入信号时间沿在周期内的位置。接下来确定各输入信号的编码格式。

时钟信号通常使用RZ(正脉冲)或RO(负脉冲)编码格式;上升沿有效的信号如片选(CS)常使用RZ编码格式;下降沿有效的信号如输出始能(/OE)常使用RO编码格式;拥有建立和保持时间要求的数据信号常使用SBC编码格式;其他的输入信号则可以使用NRZ或DNRZ编码格式。输入信号由测试系统里的每一个周期的输出数据组合创建,最后从电子引脚输出的信号图形是测试向量、时沿设置、信号格式及VIH/VIL设置共同作用的激励输入,如图4所示:

图4 输入信号的创建

4.1.2输出数据

输出数据部分的测试由以下组合:

①测试向量数据(期望的输出逻辑值);

②采样时序(周期内采样设定);

③ HCOMP/LCOMP(期望的逻辑高低电平);

④ IOH/IOL(输出动态电流负载)。

(1)测试输出

功能测试期间,测试程序设定每个输出引脚在测试周期内的输出采样时间点。测试周期中,电子引脚中的比较单元会对DUT输出信号电平和HCOMP/LCOMP参考电平相比较,比较器输出逻辑数送入系统中,在这个时间点上测试系统对逻辑值进行采样,采样的数值与期望的逻辑值相比较。

每个输出引脚对应的测试向量含有在该周期中期望的逻辑状态。如果期望是逻辑“0”,当采样进行时,DUT的输出电平必须小于等于LCOMP;如果期望时逻辑“1”,则必须大于等于HCOMP。部分测试系统还拥有测试高阻态的能力,这主要看电子引脚中的比较器支持高阻输出与否。

(2)测试高阻态输出

引脚输出高阻态也可以进行功能性的测试,在这类测试中,将比较器逻辑翻转以得到非有效的逻辑。高阻状态(电平)定义为小于HCOMP和大于LCOM的电压。DUT的引脚连接到2V参考电压的电流负载,引脚电压将输出到非有效电压(高阻状态),通常使用的参考电压代表中间级或高阻态。当器件引脚输出进入高阻态时,将不能提供和吸收任何电流。高阻态输出将会保持其逻辑状态直至器件内部因素引起输出改变,电流负载将提供或吸收电流。图5表示测试高阻抗输出时,DUT输出和HCOMP/LCOMP值之间的

Pass/Fail/Pass的关系。

图5 功能测试输出电平

(3)输出电流负载

在功能测试中,DUT输出引脚可能会用到电流负载。电子引脚上配置有可编程电流负载(也叫动态电流负载)电路,可以在测试程序中进行设定,但有些中小型的测试系统并不支持这项功能。在执行逻辑功能测试时,将在器件的输出端施加设置的IOL或IOH 电流,测试器件输出引脚的带负载能力。

通过施加指定的IOL/IOH测试VOL/VOH电压。当输出为VOL,IOL从电流负载流进器件的输出端倒地,称IOL为吸电流(sinking current);当输出为VOH,IOH从器件的输出端流进电流负载流进倒地,称IOH为拉电流(sourcing current);输出电流和电压的参数在功能测试运行过程中得到定性验证,这比用PMU实施相同的测试快得多。

(4)输出信号时序

输出信号的传输是由时钟和控制信号的时间沿进行控制,确定引起输出信号发生变化的时钟有效沿和控制信号,根据器件手册,确定输出信号达到有效逻辑状态时所需要的传输延迟,进而确定信号采样点在周期内的位置,采样点的位置应该在周期内定时前沿点和后延点之间。有些测试系统硬件支持边沿模式或窗口模式两种采样形式。边沿模式只在周期内确定的时间点采集并比较一次数据,而窗口模式则在周期内特定的一段时间都对输出进行采样和比较。

测试时序使输出的变化和测试系统的检测发生在相同的周期内,这样就可以在测试周期内准确地测量输出延迟,保证在测试周期结束前有足够的时间输出准确的结果,并且采样后的数据还要保存在结果存储器中。对于工作频率低的器件输出端存在比其他的快速器件需要更长的时间达到它们的最终输出值,在降低的频率上测试能发现传输延迟的问题。

一些因素影响采样信号的输出数值,包括:

①向量数据决定期望的逻辑输出值;

② HCOMP/LCOMP比较器参考电平决定期望输出电压;

③输出采样时序决定着周期内输出信号的测试点;

④输出比较屏蔽(mask)控制决定了输出结果是用以判断Pass/Fail还是忽略。

4.2 集成电路生产测试的流程

结合图6,测试时间的长短直接影响测试成本的高低,而减少平均测试时间的一个最好方法就是尽可能早地发现并剔除坏的芯片。所以在集成电路的生产测试环节,首先应该进行开短路测试,这样能快速检测出DUT是否存在电性物理缺陷,如引脚短路、引脚的静电损坏、以及制造缺陷等等,尽早的剔除坏品。

接着就要进行功能测试,检验DUT功能是否正常,功能测试的速度很快,只有通过了功能测试后面的DC参数测试才是有意义的。最后进行器件的DC参数测试,这一阶段速度会比较慢,一旦器件通过了这些测试,就可基本保证其性能。图6为集成电路生产测试的流程:

图6 典型数字集成电路生产测试流程

五、集成电路自动测试面临的挑战

集成电路从小规模到中规模,再到大规模,超大规模集成电路,发展到现在的芯片系统,起码集成百万以上的晶体管,堪称超级超大规模集成电路了。芯片系统往往是模数混合电路。随着集成电路功能实现复杂性,其规模也不断提高,使得集成电路测试系统向开放式、模块化和标准化方向发展,在集成电路测试技术面临更大的挑战,这就需要我们提出相关的测试策略和实现方法。系统芯片可测试性设计的难题很多。

(1)随着集成电路设计规模迅速提升,测试向量数目的急剧增加,迫切需要有效的测试设计,采用什么样的硬件电路和快速的测试算法以较小的硬件开销和分析出所有的故障;

(2)半导体芯片中的晶体管的特征尺寸每年大约减小10.5%,随着电路设计和工艺的革新,晶体管密度几乎成平方级增长。先进的0.15um设计工艺使得设计人员能将大量晶体管放置到集成电路上,这使得引入和新的电子和物理效应,不断涌现出新的失效故障模型,串扰、电迁移和信号完整性问题更为突出;

(3)芯片的工作速度不断提升,数字信号在高速的数字通道上表现出复杂的模拟特性,噪声随之引入,因而对测试系统提出了更高的设计要求。导致高速自动测试系统售价昂贵,一台测试频率为1GHz的自动测试系统每增加一个引脚的费用大约为3000美元。

(4)模数混合自动测试系统往往需要采用一套复杂的数字信号处理系统来实现。基于数字信号处理器测试是以数字化仪器和任意波形发生器代替纯模拟仪器完成模拟信号的参数测试。

(5)随着集成电路工艺技术进步和设计方法的提高,可以把中央处理器、数字信号处理器、内存等模块设计在一块芯片上,这就是SOC。SOC采用IP核设计,供测试的端口少,且IP核提供商为了保护知识产权往往不愿意过多的透漏IP核的具体实现细节,在这种情况下,内部IP核测试需要构建复杂的数学模型导致难以测试。

参考文献

[1] 顾德均等.集成电子装备修理理论与技术[M].北京:国防工业出版社,2001

[2] 高泽涵.集成电路测试技术[M].西安:西安电子科技大学出版社,2000

[3] 朱大奇.集成电路原理与实践[M].北京:电子工业出版社,2004,1

[4] 吴今培.集成电路测试研究现状[M].北京:科学出版社,1999

[5] 张雪江.集成电路测试新方法的研究(博士论文)[J],东南大学,1997,4

[6] 王飚航.基于集成电路测试的系统研究[J].北方交通大学学报,1996,20(4):496~

501

[7] 蔡自兴,徐光佑.集成电路[M].北京:清华大学出版社,2000

[8] AokiA R,Torres G L,Souza L E D.Knowledge’s Acpuisition for AI Planning of

Restoration of Substations Using Functional Modeling.In:ISAP’99,Rio de Janeiro(Brazil),1999:191~195

集成电路的检测方法

集成电路的检测方法 现在的电子产品往往由于一块集成电路损坏,导致一部分或几个部分不能常工作,影响设备的正常使用。那么如何检测集成电路的好坏呢?通常一台设备里面有许多个集成电路,当拿到一部有故障的集成电路的设备时,首先要根据故障现象,判断出故障的大体部位,然后通过测量,把故障的可能部位逐步缩小,最后找到故障所在。 要找到故障所在必须通过检测,通常修理人员都采用测引脚电压方法来判断,但这只能判断出故障的大致部位,而且有的引脚反应不灵敏,甚至有的没有什么反应。就是在电压偏离的情况下,也包含外围元件损坏的因素,还必须将集成块内部故障与外围故障严格区别开来,因此单靠某一种方法对集成电路是很难检测的,必须依赖综合的检测手段。现以万用表检测为例,介绍其具体方法。 我们知道,集成块使用时,总有一个引脚与印制电路板上的“地”线是焊通的,在电路中称之为接地脚。由于集成电路内部都采用直接耦合,因此,集成块的其它引脚与接地脚之间都存在着确定的直流电阻,这种确定的直流电阻称为该脚内部等效直流电阻,简称R内。当我们拿到一块新的集成块时,可通过用万用表测量各引脚的内部等效直流电阻来判断其好坏,若各引脚的内部等效电阻R内与标准值相符,说明这块集成块是好的,反之若与标准值相差过大,说明集成块内部损坏。测量时有一点必须注意,由于集成块内部有大量的三极管,二极管等非线性元件,在测量中单测得一个阻值还不能判断其好坏,必须互换表笔再测一次,获得正反向两个阻值。只有当R内正反向阻值都符合标准,才能断定该集成块完好。 在实际修理中,通常采用在路测量。先测量其引脚电压,如果电压异常,可断开引脚连线测接线端电压,以判断电压变化是外围元件引起,还是集成块内部引起。也可以采用测外部电路到地之间的直流等效电阻(称R外)来判断,通常在电路中测得的集成块某引脚与接地脚之间的直流电阻(在路电阻),实际是R内与R外并联的总直流等效电阻。在修理中常将在路电压与在路电阻的测量方法结合使用。有时在路电压和在路电阻偏离标准值,并不一定是集成块损坏,而是有关外围元件损坏,使R外不正常,从而造成在路电压和在路电阻的异常。这时便只能测量集成块内部直流等效电阻,才能判定集成块是否损坏。根据实际检修经验,在路检测集成电路内部直流等效电阻时可不必把集成块从电路上焊下来,只需将电压或在路电阻异常的脚与电路断开,同时将接地脚也与电路板断开,其它脚维持原状,测量出测试脚与接地脚之间的R内正反向电阻值便可判断其好坏。 例如,电视机内集成块TA7609P瑢脚在路电压或电阻异常,可切断瑢脚和⑤脚(接地脚)然后用万用表内电阻挡测瑢脚与⑤脚之间电阻,测得一个数值后,互换表笔再测一次。若集成块正常应测得红表笔接地时为8.2kΩ,黑表笔接地时为272kΩ的R内直流等效电阻,否则集成块已损坏。在测量中多数引脚,万用表用R×1k挡,当个别引脚R内很大时,换用R ×10k挡,这是因为R×1k挡其表内电池电压只有1.5V,当集成块内部晶体管串联较多时,电表内电压太低,不能供集成块内晶体管进入正常工作状态,数值无法显现或不准确。 总之,在检测时要认真分析,灵活运用各种方法,摸索规律,做到快速、准确找出故障 摘要:判断常用集成电路的质量及好坏 一看: 封装考究,型号标记清晰,字迹,商标及出厂编号,产地俱全且印刷质量较好,(有的 为烤漆,激光蚀刻等) 这样的厂家在生产加工过程中,质量控制的比较严格。 二检: 引脚光滑亮泽,无腐蚀插拔痕迹, 生产日期较短,正规商店经营。 三测: 对常用数字集成电路, 为保护输入端及工厂生产需要,每一个输入端分别对VDD

IC测试原理

IC测试原理解析(第一部分) 本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理, 内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 第一章 数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这 样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节 不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ? 特征分析:保证设计的正确性,决定器件的性能参数; ? 产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ? 可靠性测试:保证器件能在规定的年限之内能正确工作; ? 来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ?圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ? 封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。 ? 特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、 接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: ? TTL

集成电路测试

第一章 集成电路的测试 1.集成电路测试的定义 集成电路测试是对集成电路或模块进行检测,通过测量对于集成电路的输出回应和预期输出比较,以确定或评估集成电路元器件功能和性能的过程,是验证设计、监控生产、保证质量、分析失效以及指导应用的重要手段。 .2.集成电路测试的基本原理 输入Y 被测电路DUT(Device Under Test)可作为一个已知功能的实体,测试依据原始输入x 和网络功能集F(x),确定原始输出回应y,并分析y是否表达了电路网络的实际输出。因此,测试的基本任务是生成测试输入,而测试系统的基本任务则是将测试输人应用于被测器件,并分析其输出的正确性。测试过程中,测试系统首先生成输入定时波形信号施加到被测器件的原始输入管脚,第二步是从被测器件的原始输出管脚采样输出回应,最后经过分析处理得到测试结果。 3.集成电路故障与测试 集成电路的不正常状态有缺陷(defect)、故障(fault)和失效(failure)等。由于设计考虑不周全或制造过程中的一些物理、化学因素,使集成电路不符合技术条件而不能正常工作,称为集成电路存在缺陷。集成电路的缺陷导致它的功能发生变化,称为故障。故障可能使集成电路失效,也可能不失效,集成电路丧失了实施其特定规范要求的功能,称为集成电路失效。故障和缺陷等效,但两者有一定区别,缺陷会引发故障,故障是表象,相对稳定,并且易于测试;缺陷相对隐蔽和微观,缺陷的查找与定位较难。 4.集成电路测试的过程 1.测试设备 测试仪:通常被叫做自动测试设备,是用来向被测试器件施加输入,并观察输出。测试是要考虑DUT的技术指标和规范,包括:器件最高时钟频率、定时精度要求、输入\输出引脚的数目等。要考虑的因素:费用、可靠性、服务能力、软件编程难易程度等。 1.测试界面 测试界面主要根据DUT的封装形式、最高时钟频率、ATE的资源配置和界面板卡形等合理地选择测试插座和设计制作测试负载板。

IDDQ测试原理及方法

电流测试 1 电流测试简介 功能测试是基于逻辑电平的故障检测,逻辑电平值通过测量原始输出的电压来 确定,因此功能测试实际上是电压测试。电压测试对于检测固定型故障特别是双极型工艺中的固定型故障是有效的,但对于检测CMOS 工艺中的其他类型故障则显得 有些不足,而这些故障类型在CMOS 电路测试中是常见的对于较大电路,电压测试 由于测试图形的生成相当复杂且较长,因而电流测试方法被提出来电流测试的测试集相当短,这种测试方式对于固定型故障也有效。 CMOS 电路具有低功耗的优点,静态条件下由泄漏电流引起的功耗可以忽略,仅 表示,Q 代表静态 在转换期间电路从电源消耗较大的电流。电源电压用V DD (quiescent) ,则IDDQ 可用来表示MOS电路静态时从电源获取的电流,对此电 流的测试称为IDDQ 测试,这是一种应用前景广泛的测试。 IDDQ 测试概念的提出时间并不很长,但自半导体器件问世以来,基于电流的测量一直是测试元器件的一种方法,这种方法即所谓的IDDQ 测试,用在常见的短接 故障检测中。自从Wanlsaa 于1961 年提出CMOS 概念, 1968 年RCA 制造出第一 块CMOS IC 和1974 年制造出第一块MOS 微处理器以来,科研人员一直研究CMOS 电路的测试,而静态电流测试则作为一项主要的参数测量1975 年Nelson 提出了IDDQ 测试的概念和报告,1981 年M.W Levi 首次发表了关于VLSI CMOS 的测试论文,这就是IDDQ 测试研究的开端。其后,IDDQ 测试用来检测分析各种DM0S 缺陷,包括桥接故障和固定型故障1988 年W.Maly 首次发表了关于电流测试的论文, Levi, Malaiya, C.Crapuchettes, M.Patyra , A .Welbers 和S.Roy 等也率先进 行了片内电流测试的研究开发工作,这些研究奠定了IDDQ 测试的基础、1981 年Philips semiconductor 开始在SRAM 产品测试中采用片内IDDQ 检测单元,其后 许多公司把片内IDDQ 检测单元用在ASIC产品中,但早期的IDDQ 测试基本上只为政府、军工资助的部门或项目所应用。 直到20 世纪80 年代后期,半导体厂商认识到IDDQ 测试是检测芯片物理缺陷 的有效方法,IDDQ 测试才被普遍应用, CAD 工具也开始集成此项功能。目前,IDDQ 测试也逐渐与其他DFT结构,例如扫描路径测试、内建自测试、存储器测试等,结合在一起应用。20 世纪80 年代,电流测量基本上是基于片外测量电路的,80 年代末片上电流传感器的理论和设计方法得以提出,随后这方面所开展的理论 和方法研究纷纷出现,IEEE Technical Committee on Test Technology 于1994 年成立一个称做QTAG ( Quality Test Action Group ) 的技术组织,其任务是研 究片上电流传感器的标准化问题,但该组织得出了电流传感器不经济的结论,因此,1996 年结束标准化研究工作,目前电流传感器的研究主要针对高速片外传感器。 IDDQ 测试是源于物理缺陷的测试,也是可靠性测试的一部分1996 年SRC (Semiconductor Research Corporation )认定IDDQ 测试是20 世纪90 年代到 21 世纪主要的测试方法之一。IDDQ 测试已成为IC 测试和CAD 工具中一个重要内容,许多Verilog/HDL 模拟工具包含IDDQ 测试生成和故障覆盖率分析的功能。 IDDQ 测试引起重视主要是测试成本非常低和能从根本上找出电路的问题(缺陷)所在。例如,在电压测试中,要把测试覆盖率从80%提高10% ,测试图形一般要

声波测试技术的原理及其运用

声波测试技术的原理及其运用 1.声波测试原理 声波探测技术是一种岩土体测试技术,它根据弹性波在岩体中传播的原理,用仪器的发射系统向岩土体中发射声波,由接受系统接收。由于岩体的岩性、结构面情况、风化程度、应力状态、含水情况等地质因素都能直接引起声波波速、振幅和频率发生变化,因此可通过接收器所接受的声波波速、频率和振幅了解岩土体地质情况并求得岩土体某些力学参数(如泊松比、动弹性模量、抗压强度、弹性抗力系数等)和其他一些工程地质性质指标(如风化系数、裂隙系数、各向异性系数等)。 声波仪是声波探测使用的仪器。声波仪有多种型号,主动测试的仪器一般都由发射系统和接收系统两大部分组成。发射系统包括发射机和发射换能器,接收系统包括接收机和接收换能器。发射机是一种声源讯号的发射器,由它向压电材料制成的换能器(图中的1)输送电脉冲,激励换能器的晶片,使之振动而产生声波,向岩体发射。于是声波在岩体中以弹性波形式传播,然后由接收换能器(图中的2)加以接收,该换能器将声能转换成电子讯号送到接收机,经放大后在接收机的示波管屏幕上显示波形。 声波仪的主要部件示意图 2.声波测试技术的运用 声波探测可分为主动测试和被动测试两种工作方法。主动测试所利用的声波由声波仪的发射系统或槌击方式产生;被动测试的声波则是岩体遭受自然界的或其它的作用力时,在变形或破坏过程中由它本身发出的(如滑坡)。主动测试包括波速测定,振幅衰减测定和频率测定,其中最常用的是波速测定。 目前在工程地质勘探中,已较为广泛地采用声波探测解决下列地质问题:根据波速等声学参数的变化规律进行工程岩体的地质分类;根据波速随岩体裂隙发育而降低及随应力状态的变化而改变等规律,圈定开挖造成的围岩松驰带,为确定合理的衬砌厚度和锚杆长度提供依据;测定岩体或岩石试件的力学参数如杨氏模量、剪切模量和泊松比等;利用声速及声幅在岩体内的变化规律进行工程岩体边坡或地下硐室围岩稳定性的评价;探测断层、溶洞的位置及规模,张开裂隙的延伸方向及长度等;利用声速、声幅及超声电视测井的资料划分钻井剖面岩性,进行地层对比,查明裂隙、溶洞及套管的裂隙等;划分浅层地质剖面及确定地下水面深度;天然地震及大面积地质灾害的预报。 声波探测的工作方法: (1)测网的布置 测网的布置一般应选择有代表性的地段,力求以最少的工作量解决较多的地质问题。 测点或观测孔的布置一般应选择在岩性均匀、表面光洁、无局部节理裂隙的地方,以避免介质不均匀对声波的干扰。如果是为了探测某一地质因素,测量地段应选在其他地质因素基本均匀的地方,以减少多种地质因素变化引起的综合异常给资料解释带来困难。装置的距离要根据介质的情况、仪器的性能以及接收的波型特点等条件而定。 (2)工作方式

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

芯片测试规范

测试规范 1.适用范围 1.1本规范为导入DDR芯片的测试方法和标准,,以验证和确认新物料是否适合批量生 产;. 2.目的 使开发部门导入新的关键器件过程中有章可循,有据可依。 3.可靠性测试 :如果替代料是FLASH的话,我们一般需要做10个循环的拷贝校验(我们测试工具APK设置:500M/拷贝次数/重启10次) :如果替代料是DDR的话,我们也需要验证DDR的运行稳定性,那么也需要做循环拷贝校验(测试工具APK设置:500M/拷贝次数/重启5次) PS:1.拷贝次数=(FLASH可用容量*1024M/500M)-1 验证只需要验证运行稳定性,所以一般做3-5个循环就OK了,FLASH要求比较严格,一般需要做10个循环以上; 3.考虑到FLASH压力测试超过20次以上可能会对MLC造成影 响,故对于验证次数太多的机器出货前需要更换。 7.常温老化:PND我们一般跑模拟导航持续运行12H,安卓我们一般运行MP4-1080P持续老化12H,老化后需要评估休眠唤醒是否正常; 8.高低温老化:环境(60度,-10度) 基于高低温下DDR运行稳定性或存在一定的影响,DDR替代需要进行高低温老化,我们PND一般运行模拟导航、安卓因为运行模导不太方便,就运行MP4各持续老化12H。 从多年的经验来看,FLASH对于温度要求没有这么敏感。 9.自动重启测试:一般做50次/PCS,需要每次启动系统都能正常启动;-- 一般是前面恢复出厂设置有问题,异常的机器排查才会用到;

10.复位、通断电测试:这个测试属于系统破坏性测试,测试非正常操作是否 存在掉程序的现象,一般做20次/PCS,要求系统能够正常启动。 1.焊接效果,如果是内部焊接的话,需要采用X-RAY评估,LGA封装的话就 需要SMT制程工艺规避空洞率; 2.功能测试; 3.休眠电流、休眠唤醒测试:DDR必测项目,反复休眠唤醒最好3-5次/PCS,休眠电流大小自行定义;FLASH测不测影响不大; 4.容量检查,容量标准你们根据客户需求自行定义,当然是越大越好;--大 货时这一点最好提供工具给到阿杜随线筛选; 5.恢复出厂设置:我们一般做50次/PCS,运行正常的话界面会显示50次测 试完成,如果出现中途不进主界面、死机等异常现象就需要分析问题根源; 压力测试:这部分需要分开来说明 4.测试环境 温度:25±2℃ 湿度:60%~70%; 大气压强:86kPa ~106kPa。 5.测试工具 可调电源(最好能显示对应输出电流) 可调电子负载 示波器

测试流程及测试理论方法

测试流程及测试理论方法 一、测试流程 1.软件开发流程: 需求分析—>概要设计—>详细设计—>编码开发—>测试—>维护 2.测试流程为: 单元测试/集成测试—>系统测试/自动化测试—>性能测试—>验收测试 3.目标: 3.1制定完整且具体的测试路线和流程,为快速、高效和高质量的 软件测试提供基础流程框架。 3.2最终目标是实现软件测试规范化、标准化、自动化。 4.测试流程说明: 5.测试需求分析 测试需求是整个测试过程的基础;确定测试对象以及测试工作的范围和作用。用来确定整个测试工作(如安排时间表、测试设计等)并作为测试覆盖的基础。而且被确定的测试需求项必须是可核实的。即,它们必须有一个可观察、可评测的结果。无法核实的需求不是测试需求。所以我现在的理解是测试需求是一个比较大的概念,它是在整个测试计划文档中体现出来的,不是类似的一个用例或者其他. ·测试需求是制订测试计划的基本依据,确定了测试需求能够为测试计划提供客观依据;

·测试需求是设计测试用例的指导,确定了要测什么、测哪些方面后才能有针对性的设计测试用例; ·测试需求是计算测试覆盖的分母,没有测试需求就无法有效地进行测试覆盖。 5.1测试方法与规范 5.1.1测试方法 随着软件技术发展,项目类型越来越多样化。根据项目类型应选用针对性强的测试方法,合适的测试方法可以让我们事半功倍。以下是针对目前项目工程可以参考的测试方法: ?β测试(beta测试)--非程序员、测试人员 β测试,英文是Betatesting。又称Beta测试,用户验收测试(UAT)。 β测试是的多个用户在一个或多个用户的实际使用环境下进行的测试。开发者通常不在测试现场,Beta测试不能由程序员或测试员完成。 当开发和测试根本完成时所做的测试,而最终的错误和问题需要在最终发行前找到。这种测试一般由最终用户或其他人员完成,不能由程序员或测试员完成。 ?α测试()--非程序员、测试人员 α测试,英文是Alphatesting。又称Alpha测试. Alpha测试是由一个用户在开发环境下进行的测试,也可以是公司内部的用户在模拟实际操作环境下进行的受控测试,Alpha测试不能由该系统的程序员或测试员完成。

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

集成电路测试原理及方法

H a r b i n I n s t i t u t e o f T e c h n o l o g y 集成电路测试原理及方法简介 院系:电气工程及自动化学院 姓名: XXXXXX 学号: XXXXXXXXX 指导教师: XXXXXX 设计时间: XXXXXXXXXX

摘要 随着经济发展和技术的进步,集成电路产业取得了突飞猛进的发展。集成电路测试是集成电路产业链中的一个重要环节,是保证集成电路性能、质量的关键环节之一。集成电路基础设计是集成电路产业的一门支撑技术,而集成电路是实现集成电路测试必不可少的工具。 本文首先介绍了集成电路自动测试系统的国内外研究现状,接着介绍了数字集成电路的测试技术,包括逻辑功能测试技术和直流参数测试技术。逻辑功能测试技术介绍了测试向量的格式化作为输入激励和对输出结果的采样,最后讨论了集成电路测试面临的技术难题。 关键词:集成电路;研究现状;测试原理;测试方法

目录 一、引言 (4) 二、集成电路测试重要性 (4) 三、集成电路测试分类 (5) 四、集成电路测试原理和方法 (6) 4.1.数字器件的逻辑功能测试 (6) 4.1.1测试周期及输入数据 (8) 4.1.2输出数据 (10) 4.2 集成电路生产测试的流程 (12) 五、集成电路自动测试面临的挑战 (13) 参考文献 (14)

一、引言 随着经济的发展,人们生活质量的提高,生活中遍布着各类电子消费产品。电脑﹑手机和mp3播放器等电子产品和人们的生活息息相关,这些都为集成电路产业的发展带来了巨大的市场空间。2007年世界半导体营业额高达2.740亿美元,2008世界半导体产业营业额增至2.850亿美元,专家预测今后的几年随着消费的增长,对集成电路的需求必然强劲。因此,世界集成电路产业正在处于高速发展的阶段。 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 二、集成电路测试重要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。 作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。

角度测量的原理及其方法

角度测量的原理及其方法 角度测量原理 一、水平角测量原理 地面上两条直线之间的夹角在水平面上的投影称为水平角。如图 3-1所示,A、B、O为地面上的任意点,通OA和OB直线各作一垂 直面,并把OA和OB分别投影到水平投影面上,其投影线Oa和Ob 的夹角∠aOb,就是∠AOB的水平角β。 如果在角顶O上安置一个带有水平刻度盘的测角仪器,其度盘 中心O′在通过测站O点的铅垂线上,设OA和OB两条方向线在水 平刻度盘上的投影读数为a1和b1,则水平角β为: β= b1 - a1(3-1) 二、竖直角测量原理 在同一竖直面内视线和水平线之间的夹角称为竖直角或称垂直 角。如图3-2所示,视线在水平线之上称为仰角,符号为正;视线在 水平线之下称为俯角,符号为负。

图3-1 水平角测量原理图图3-2 竖直角测 量原理图 如果在测站点O上安置一个带有竖直刻度盘的测角仪器,其竖盘中心通过水平视线,设照准目标点A时视线的读数为n,水平视线的读数为m,则竖直角α为: α= n - m (3-2) 光学经纬仪 一、DJ6级光学经纬仪的构造 它主要由照准部(包括望远镜、竖直度盘、水准器、读数设备)、水平度盘、基座三部分组成。现将各组成部分分别介绍如下:1.望远镜 望远镜的构造和水准仪望远镜构造基本相同,是用来照准远方目标。它和横轴固连在一起放在支架上,并要求望远镜视准轴垂直于横轴,当横轴水平时,望远镜绕横轴旋转的视准面是一个铅垂面。为了控制望远镜的俯仰程度,在照准部外壳上还设置有一套望远镜制动和

微动螺旋。在照准部外壳上还设置有一套水平制动和微动螺旋,以控制水平方向的转动。当拧紧望远镜或照准部的制动螺旋后,转动微动螺旋,望远镜或照准部才能作微小的转动。 2.水平度盘 水平度盘是用光学玻璃制成圆盘,在盘上按顺时针方向从0°到360°刻有等角度的分划线。相邻两刻划线的格值有1°或30′两种。度盘固定在轴套上,轴套套在轴座上。水平度盘和照准部两者之间的转动关系,由离合器扳手或度盘变换手轮控制。 3.读数设备 我国制造的DJ6型光学经纬仪采用分微尺读数设备,它把度盘和分微尺的影像,通过一系列透镜的放大和棱镜的折射,反映到读数显微镜内进行读数。在读数显微镜内就能看到水平度盘和分微尺影像,如图3-4所示。度盘上两分划线所对的圆心角,称为度盘分划值。 在读数显微镜内所见到的长刻划线和大号数字是度盘分划线及其注记,短刻划线和小号数字是分微尺的分划线及其注记。分微尺的长度等于度盘1°的分划长度,分微尺分成6大格,每大格又分成10,每小格格值为1′,可估读到0.1′。分微尺的0°分划线是其指标线,它所指度盘上的位置与度盘分划线所截的分微尺长度就是分微尺读数值。为了直接读出小数值,使分微尺注数增大方向与度盘注数方向相反。读数时,以在分微尺上的度盘分划线为准读取度数,而后读取该度盘分划线与分微尺指标线之间的分微尺读数的分数,并估读

测试技术主要内容

机械工程测试技术主要知识点 绪论 1)测试系统的组成 第一章信号的描述 2)信号的分类什么是确定信号,什么是周期信号什么是非周期信号什么是准周期信号什么是非确定性信号 确定性信号:能用明确的数学关系式或图像表达的信号称为确定性信号 非确定性信号:不能用数学关系式描述的信号 周期信号(period signal):依一定的时间间隔周而复始、重复出现;无始无终。 一般周期信号:(如周期方波、周期三角波等)由多个乃至无穷多个频率成分(频率不同的谐波分量)叠加所组成,叠加后存在公共周期。 准周期信号(quasi-periodic signal):也由多个频率成分叠加而成,但不存在公共周期。(实质上是非周期信号) 3)离散信号和连续信号能量信号和功率信号 什么是能量(有限)信号—总能量是有限的 什么是功率(有限)信号信号在有限区间(t1, t2)上的平均功率是有限的 4)时域信号和频域信号 以时间为独立变量,描述信号随时间的变化特征,反映信号幅值与时间的函数关系 以频率为变量建立信号幅值、相位与频率的函数关系 5)一般周期信号可以利用傅里叶展开成频域信号 6)傅里叶级数展开和傅里叶变换的定义和公式傅里叶变换的主要性质

傅里叶变换: 傅里叶变换: 性质: 对称性:X(t) ? x(-f )尺度改变性 频移特性

7)把时域信号变换为频域信号,也叫做信号的频谱分析。 8)求方波和三角波的频谱,做出频谱图,分别用三角函数展开式和傅里叶级数展开式 傅里叶变换…… 9)非周期信号的频谱分析通过 傅里叶变换 10)周期信号和非周期信号的频谱的主要区别 周期信号的频谱是离散的,非周期信号的频谱是连续的求单边指数衰减函数的傅里叶变换(频谱) 11)随机信号的描述,可分成足什么条件在随机信号的实际测试工作中,为什么要证明随机过程是各态历经的 随机信号必须采用概率和统计的方法进行描述 工程中绝大多数随机过程假定符合各态历经过程,则可用测得的有限样本记录来代表总体过程,否则理论上要测量无穷个样本才能描述该过程 12)脉冲函数的频谱什么是脉冲函数的筛选性质矩形窗函数平稳随机过程和非平稳随机过程,平稳随机过程又可分为各态历经和 非各态历经两类,各态历经随机过程的统计特征参数满的频谱sinc函数的定义单边指数函数的频谱单位阶跃函数的频谱δ函数具有等强度、无限宽广的频谱,这种频谱常称为“均匀谱”。 Sinc(x)=sinx/x

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

IC测试基本原理

本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ·特征分析:保证设计的正确性,决定器件的性能参数; ·产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ·可靠性测试:保证器件能在规定的年限之内能正确工作; ·来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ·圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ·封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。·特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: · TTL · ECL · CMOS · NMOS · Others 通常的测试项目种类: ·功能测试:真值表,算法向量生成。 ·直流参数测试:开路/短路测试,输出驱动电流测试,漏电电源测试,电源电流测试,转换电平测试等。·交流参数测试:传输延迟测试,建立保持时间测试,功能速度测试,存取时间测试,刷新/等待时间测试,上升/下降时间测试。 第二节直流参数测试 直流测试是基于欧姆定律的用来确定器件电参数的稳态测试方法。比如,漏电流测试就是在输入管脚施加电压,这使输入管脚与电源或地之间的电阻上有电流通过,然后测量其该管脚电流的测试。输出驱动电流测试就是在输出管脚上施加一定电流,然后测量该管脚与地或电源之间的电压差。

IC芯片的检测方法大全

芯片的检测方法 一、查板方法: 1.观察法:有无烧糊、烧断、起泡、板面断线、插口锈蚀。 2.表测法:+5V、GND电阻是否是太小(在50欧姆以下)。 3.通电检查:对明确已坏板,可略调高电压0.5-1V,开机后用手搓板上的IC,让有问题的芯片发热,从而感知出来。 4.逻辑笔检查:对重点怀疑的IC输入、输出、控制极各端检查信号有无、强弱。 5.辨别各大工作区:大部分板都有区域上的明确分工,如:控制区(CPU)、时钟区(晶振)(分频)、背景画面区、动作区(人物、飞机)、声音产生合成区等。这对电脑板的深入维修十分重要。 二、排错方法: 1.将怀疑的芯片,根据手册的指示,首先检查输入、输出端是否有信号(波型),如有入 无出,再查IC的控制信号(时钟)等的有无,如有则此IC坏的可能性极大,无控制信号,追查到它的前一极,直到找到损坏的IC为止。 2.找到的暂时不要从极上取下可选用同一型号。或程序内容相同的IC背在上面,开机观察是否好转,以确认该IC是否损坏。 3.用切线、借跳线法寻找短路线:发现有的信线和地线、+5V或其它多个IC不应相连的 脚短路,可切断该线再测量,判断是IC问题还是板面走线问题,或从其它IC上借用信号焊接到波型不对的IC上看现象画面是否变好,判断该IC的好坏。 4.对照法:找一块相同内容的好电脑板对照测量相应IC的引脚波型和其数来确认的IC是 否损坏。

5.用微机万用编程器(ALL-03/07)(EXPRO-80/100等)中的ICTEST软件测试IC。 三、电脑芯片拆卸方法: 1.剪脚法:不伤板,不能再生利用。 2.拖锡法:在IC脚两边上焊满锡,利用高温烙铁来回拖动,同时起出IC(易伤板,但可保全测试IC)。 3.烧烤法:在酒精灯、煤气灶、电炉上烧烤,等板上锡溶化后起出IC(不易掌握)。 4.锡锅法:在电炉上作专用锡锅,待锡溶化后,将板上要卸的IC浸入锡锅内,即可起出IC又不伤板,但设备不易制作。 5.电热风枪:用专用电热风枪卸片,吹要卸的IC引脚部分,即可将化锡后的IC起出(注意吹板时要晃动风枪否则也会将电脑板吹起泡,但风枪成本高,一般约2000元左右)作为专业硬件维修,板卡维修是非常重要的项目之一。拿过来一块有故障的主板,如何判断具体哪个元器件出问题呢? 引起主板故障的主要原因 1.人为故障: 带电插拨I/O卡,以及在装板卡及插头时用力不当造成对接口、芯片等的损害 2.环境不良: 静电常造成主板上芯片(特别是CMOS芯片)被击穿。另外,主板遇到电源损坏或电网电压瞬间产生的尖峰脉冲时,往往会损坏系统板供电插头附近的芯片。如果主板上布满了灰尘,也会造成信号短路等。 3.器件质量问题:

模拟集成电路设计原理_试题库1

《模拟集成电路设计原理》试卷(答题卷)(1) 一、填空题(共30分,每空格1分) 1. MOSFET 是一个四端器件,现在大多数的CMOS 工艺中,P 管做在_____中,并且,在大 多数电路中,P 管的衬底与______(高或低)电平相连接,这样连接的原因是使得_________________________________________________。 2. 对增强型NMOS 来说,让其处于饱和时的条件为_______________________________, 增强型PMOS 处于饱和时的条件为__________________________________________。 3. 在两级运放中,通常是用第一级运放实现_____________,用第二级运放实现 _____________。 4. 实际工艺中,本征阈值电压并不适用于电路设计,因此在器件制造过程中,通常通过 向沟道区注入__________来调整阈值电压,其实质是改变氧化层(栅氧)界面附近衬底的_______________。 5. 阈值电压为发生强反型时的栅压,对增强型NMOS 管来说,发生强反型时的条件为 __________________________________________________。 6. 折叠式共源共栅运放与套筒式共源共栅结构相比,输出电压摆幅_______,但这个优点 是以较大的________、较低的_______________、较低的_____________和较高的____________为代价得到的。 7. 对于一个负反馈系统来说,有前馈网络A 和反馈网络β,那么这个系统的开环增益为 _______,闭环增益为________________,环路增益为____________。 8. 对于一个单极点系统来说,单位增益带宽为80MHz ,若现在带宽变为16MHz ,则环路增 益为_________,闭环增益为_______。 9. 为了使系统稳定,零点应处于________平面,并且让极点尽量______。 10. 对单级共源、共漏和共栅放大器来说,dB f 3带宽最小的为__________,原因是由于 _______________的存在,dB f 3带宽最大的为__________。 11. MOSFET 的版图由电路中的器件所要求的_____________和工艺要求的 ________________共同决定。例如,选择适当的W/L 来确定跨导和其它电路参数,而L 的最小值由工艺决定。 12. 对于理想的差动电路来说,电路将只对_______________进行放大,而且完全抑制

IC测试原理解析 第三部分-混合信号芯片

IC测试原理解析(第三部分) 芯片测试原理讨论在芯片开发和生产过程中芯片测试的基本原理,一共分为四章,下面将要介绍的是第三章。我们在第一章介绍了芯片测试的基本原理;第二章讨论了怎么把这些基本原理应用到存储器和逻辑芯片的测试上;本文主要介绍混合信号芯片的测试;接下来的第四章将会介绍射频/无线芯片的测试。 第三章混合信号芯片测试基础 基于DSP的测试技术 利用基于数字信号处理(DSP)的测试技术来测试混合信号芯片与传统的测试技术相比有许多优势。这些优势包括: 由于能并行地进行参数测试,所以能减少测试时间; 由于能把各个频率的信号分量区分开来(也就是能把噪声和失真从测试频率或者其它频率分量中分离出来),所以能增加测试的精度和可重复性。 能使用很多数据处理函数,比如说求平均数等,这对混合信号测试非常有用 采样和重建 采样用于把信号从连续信号(模拟信号)转换到离散信号(数字信号),重建用于实现相反的过程。自动测试设备(A TE)依靠采样和重建给待测芯片(DUT)施加激励信号并测量它们的响应。测试中包含了数学上的和物理上的采样和重建。图1中说明了在测试一个音频接口芯片时用到的各种采样和重建方法。 采样和重建在混合信号测试中的应用

纯数学理论上,如果满足某些条件,连续信号在采样之后可以通过重建完全恢复到原始信号,而没有任何信号本质上的损失。不幸的是,现实世界中总不能如此完美,实际的连续信号和离散信号之间的转换总会有信号的损失。 我们周围物理世界上的许多信号,比如说声波、光束、温度、压力在自然界都是模拟的信号。现今基于信号处理的电子系统都必须先把这些模拟信号转换为能与数字存储,数字传输和数学处理兼容的离散数字信号。接下来可以把这些离散数字信号存储在计算机阵列之中用数字信号处理函数进行必要的数学处理。 重建是采样的反过程。此过程中,被采样的波形(脉冲数字信号)通过一个数模转换器(DAC)和反镜象滤波器一样的硬件电路转换为连续信号波形。重建会在各个采样点之间填补上丢失的波形。DAC和滤波器的组合就是一个重建的过程,可以用图2所示的冲击响应p(t)来表示。 由一个数据序列重建连续时间波形 混合信号测试介绍 最常见的混合信号芯片有:模拟开关,它的晶体管电阻随着数字信号变化;可编程增益放大器(PGAs),能用数字信号调节输入信号的放大倍数;数模转换电路(D/As or DACs);模数转换电路(A/Ds or ADCs);锁相环电路(PLLs),常用于生成高频基准时钟或者从异步数据流中恢复同步时钟。

相关文档
最新文档