数字电流表设计与仿真

数字电流表设计与仿真
数字电流表设计与仿真

船山学院

电子技术课程设计

题目设计并仿真一台数字电流表

专业名称电气工程及其自动化

指导教师徐祖华

职称副教授

班级船本08级01班

学号20089450114

学生姓名曾波

2011年1 月12 日

设计并仿真一台数字电流表

摘要

本课题实验主要采用CC7106双积分A/D变换器设计方案来完成一个简易的数字电流表,其实是一个电压表进行改装得到的,将电压表能够对输入的0~5 V的模拟直流电压进行测量,并通过一个4位一体的7段LED数码管进行显示,测量误差约为0.1 V。该电压表的测量电路主要由三个模块组成:A/D转换模块、数据处理模块及显示控制模块。A/D转换主要由芯片CC7106来完成,它负责把采集到的模拟量转换为相应的数字量再传送到数据处理模块。数据处理则由芯片CD331来完成,其负责把CC7106传送来的数字量经一定的数据处理,产生相应的显示码送到显示模块进行显示;另外它还控制着CC7106芯片的工作。显示模块主要由LCD液晶数码管及相应的驱动芯片)组成,显示测量到的电流值。

关键词

简易数字电流表、LCD液晶数码管、CC7106。

目录

引言 (1)

1工作原理 (1)

1.1数字电流表的工作原理 (1)

1.2 AD转换器及外围电路计 (1)

1.3量程开关电路设计 (2)

1.4直流稳压电流源设计 (3)

1.5 小数点驱动电路设计 (3)

2总体方案设计 (4)

2.1方案论证 (4)

2.2系统框图 (4)

2.3整体电路图 (5)

3元器件的介绍 (5)

3.1双积分式A/D转换器C C7106 (5)

3.2 液晶显示器EDS801 (6)

4仿真图 (7)

4.1量程电路仿真图 (7)

5结论 (9)

参考文献 (10)

附录 (11)

引言

传统的电网电流表一般都采用指针式表头,且都存在着测量范围小,稳定性差,精度低,表头指针指示不便于读数且误差大等缺点,已经不适应社会发展的需要。而随着智能化测控技术的迅速发展.以双积分AD转换器为核心的数字电表的优势已十分明显。智能综合仪表是基于智能化、数字化新一代智能仪表的设计理念,采用灵巧总线、工业网络、液晶显示、电子储存技术、调节仪表与记录仪表功能.具有高测量控制精度、高可靠性稳定性的特点。芯片负责采集数据,给出了一款性价比高、抗干扰能力强、测量精度很的电网电流表的设计方法。

1 工作原理

1.1数字电流表的工作原理

数字电流表是由数字电压表改装成的,由于通常所说的电流表是指灵敏电流计其量程太小,不能直接测量电流,仅用于检测有无电流和电流的方向,所以要想得到一个有多量程或量程较大的电流表需要将一个理想电压表改装而成。本设计是用一个内阻视为无穷大的电压表并联分流电阻而成的数字电流表。待测电流I随搬动开关K的位置而流过R1或R2...,因而本电流表的多个量程就取决于G的满量程电压和R1、R2的阻值,记G的满量程电压为Ug,根据欧姆定律Ug=RgIg,若Ug和Rg已知则Ig就是电流表的满量程电流。

图1.1数字电流表的基本原理

1.2AD转换器及外围电路设计

电路图如下图5.1所示。

图1.2 AD转换器及外围电路图

其中液晶显示采用EDS801,将其各数码的字段及公共端与ICL7106相应端接。OSC1、OSC2和OSC3是内部时钟的外接电阻和电容引脚;TEST是数字逻辑地端;VRH和VRL是参考电压的输入端,参考电压决定着AD转换器的灵敏度,它是由UDD分压而来,调节P R分压比可调节灵敏度(调满);两个CR脚是基准电容的外接引脚;COM端是模拟信号公共端;AZ、BUF和INT分别是自动调零端缓控制端和积分器输出端;U+和U-为电源端;IN+和IN-为待测信号输入端。R1、C1分别为振荡电阻与振荡电容。R2与电位器RP构成基准电压分压器,RP宜采用精密多圈电位器,调整RP使UREF=UM/2=100.0mV,满量程即定为200mV,二者呈1∶2的关系。R3、C3为模拟输入端高频阻容式滤波器,以提高仪表的抗干扰能力。C2、C4分别为基准电容和自动调零电容。R4、C5依次为积分电阻和积分电容。仪表采用220V叠层电池供电,测量速率约50次/秒。IN-端、UREF -端与COM端互相短接。

对于CC7106,OSC1至OSC2为时钟振荡器的引出端,主振频率OSC f 由外接11R C

的值

决定,即11f 0.45

/OSC R C =,CC7106计数器的时钟脉冲CP f 是主振频率OSC f 经4÷分频后得到的,因此

11110.45f f 44CP OSC R C ==?

,设CC7106一次A/D 转换所需时钟脉冲总数N 为200,而一次转换所需时间T=1/50次=0.02s 。则时钟脉冲频率CP f 由T=N/CP f =4N/OSC f 式可得

CP f =N/T ≈10Khz ,因而主振频率为OSC f =4CP f =40Khz ,因此可以算出1R 、1C 的值。若取1C =100pF ,则1R =(50/1C

)OSC f ≈112.5k Ω,取标称值120k Ω。 积分元器件4R 、5C 及自动调零电容4C 的取值分别为4R =56k Ω5C =0.22F μ,4C =0.47F μ。2R 和RP 组成基准电压的分压电路。其中,RP 一般采用精密多圈电位器。改变RP 的值可以调节基准电压REF

V 的值。

3

R 、3C 为输入滤波电路。电源电压取+220V ,

2C 取0.1F μ。 1.3 量程开关电路设计

量程开关电路如图1.3所示。

图1.3量程开关电路图

由于基本量程U M =0.5mV ,按照下图配置一组分压电阻,组成电阻衰减网络通过手动就可以得到量程5mV 、50mV 、500mV 、5V 的多量程电压表。该表的输入阻抗i R =10M Ω,

各档衰减后的电压x V 与输入电压i V 的关系为x V

=i V (x R /i R )。 1.4 直流稳压电流源设计

此直流稳压电源由电源变压器T 、整流滤波电路及稳压电路所组成。220V 电压经过此电路后得到次数字电压表所需的9V 。稳态电压集成稳压器选用 L7812cv(1.5A,9V)及PJ7912(1.5A,-9V),其输出电压范围为:Uo=9V 和-9V 。

1.5 小数点驱动电路设计 电路图如下图5.3所示。1

R 、

2

R 、

3

R 与异或门CC4070及开关等组成的电路用来驱

动和控制小数点。

图1.5小数点驱动电路

2 总体方案设计

2.1 方案论证

方案一:采用AT89S52单片机为核心、以AD0809数模转换芯片采样、以1602液晶屏显示制作具有电压测量功能的具有一定精度的数字电压表。AT89S52是一个低功耗,高性能CMOS 8位单片机;8位AD 转换器ADC0809,编程简单方便,价格便宜;采用液

晶1602做为显示电路,功能强大,适合做各类扩展。但该方案涉及的编程复杂,同时硬件电路也颇复杂。

方案二:采用ICL7106A/D转换器,液晶显示器EDS801A配以外围电路进行设计。ICL7106是美国Intersil公司专为数字仪表生产的数字仪,满幅输入电压一般取200mV 或2V。该芯片集成度高,转换精度高,抗干扰能力强,输出可直接驱动LCD液晶数码管,只需要很少的外部元件,就可以构成数字仪表模块,硬件电路简单,而且精度高,完全可以实现要求。

综合分析,同时结合到软硬件实际,选择方案二,原理简单,仅涉及硬件电路。2.2系统框图

2.1 系统框图

2.3整体电路图

系统整体电路图见附录。

3 元器件的介绍

3.1双积分式A/D转换器CC7106

ICL7106是美国Intersil公司专为数字仪表生产的数字仪,满幅输入电压一般取200mV或2V。该芯片集成度高,转换精度高,抗干扰能力强,输出可直接驱动LCD液晶数码管,只需要很少的外部元件,就可以构成数字仪表模块。其管脚排列图如下图3.1所示。

图3.1 ICL7106管脚图

ICL7106的管脚功能:

COM(32)为模拟信号的公共端,简称模拟地,使用时应与IN

-、U

REF-

端短接。TEST

(37)是测试端,该端经内部500Ω电阻接数字电路的公共端(GND),因二者呈等电位,故亦称作数字地。该端有两个功能:

①作测试指示,将它接U+时LCD显示全部笔段1888、可检查显示器有无笔段残缺现象;

②作为数字地供外部驱动器使用,来构成小数点及标志符的显示电路。

a1~g1、a2~g2、a3~g3、bc4分别为个位、十位、百位、千位的笔段驱动端,接至LCD的相应笔段电极。千位b、c段在LCD内部连通。当计数值N>1999时显示器溢出,仅千位显示“1”,其余位消隐,以此表示仪表超量程(过载溢出)。

POL为负极性指示的驱动端。BP为LCD背面公共电极的驱动端,简称“背电极”。OSC1~

OSC3为时钟振荡器引出端,外接阻容元件可构成两级反相式阻容振荡器。U

REF+、U

REF-

别为基准电压的正、负端,利用片内U

-COM之间的+2.8V基准电压源进行分压后,可

提供所需U

REF 值,亦可选外基准。C

REF+

、C

REF-

是外接基准电容端。IN

和IN

为模拟电压的

正、负输入端。C

AZ 端接自动调零电容。BUF是缓冲放大器输出端,接积分电阻R

INT

。INT

为积分器输出端,按积分电容C

INT

需要说明,ICL7106的数字地(GND)并未引出,但可将测试端(TEST)视为数字地,该端电位近似等于电源电压的一半。

ICL7106的性能特点如下:

(1)+7V~+15V单电源供电,可选9V叠层电池,有助于实现仪表的小型化。低功耗(约16mW),一节9V叠层电池能连续工作200小时或间断使用半年左右。

(2)输入阻抗高(1010Ω)。内设时钟电路、+2.8V基准电压源、异或门输出电路,

能直接驱动3?位LCD 显示器。

(3)属于双积分式A/D 转换器,A/D 转换准确度达±0.05%,转换速率通常选2次/秒~5次/秒。具有自动调零、自动判定极性等功能。通过对芯片的功能检查,可迅速判定其质量好坏。

(4)外围电路简单,仅需配5只电阻、5只电容和LCD 显示器,即可构成一块DVM 。其抗干扰能力强,可靠性高。

ICL7106内部包括模拟电路和数字电路两大部分,二者是互相联系的。一方面由控制逻辑产生控制信号,按规定时序将多路模拟开关接通或断开,保证A/D 转换正常进行;另一方面模拟电路中的比较器输出信号又控制着数字电路的工作状态和显示结果。

3.2 液晶显示器EDS801

EDS801显示器电路简单,不需要对其进行编程,只需将其对应管脚与ICL7106的管脚相应的连接即可工作。其管脚图如下图3.2所示。

液晶

1=1

=1

=?

?

?

??

?

?BP

DD

U 1

R 2R 3

R DPL3

DPL2

DPL1

1

2

56

8910

4070

1

234567891011121314

15

1617181920

21

22232425262728293031323334353637383940COM 4A DP33E 3D 3C DP22E 2D 2C DP11D 1E 1C 1B

COM 4AB <

3G 3F 3A 3B COL 2G 2F 2A 2B 1G 1F 1A

EDS801

?

?

1

S 2

S 3S 4

3

显示器LCD的驱动方式

液晶必须采用交流驱动方式。当液晶显示器的字符笔划电极与背电极(BP)呈等电位时,液晶不显示(消隐);当二者存在电位差时,液晶方可显示。通常是把两个频率与幅度相同而相位相反的方波电压分别加于笔画引出端与BP端之间,利用二者电位差来驱动该笔画字符发光。一般选择频率为50~60Hz,幅度为4~6V的方波电压。由于液晶的驱动要求是交流电压,所以小数点的驱动采用图2所示的异或门电路。异或门的一个脚为ICL7106输出的背板电极电压BP,此电压为一个方波电压。异或门的另一个脚为小数点的点亮逻辑DPL,当需要点亮小数点时,DPL为1,异或门的输出DP是背板电压的“非”逻辑,则DP与BP之间的电压为一交流方波电压,小数点被点亮。当不要小数点亮时,DPL 为0,异或门的输出与背板电压相同,则DP与BP之间的电压为0,小数点不亮。

4仿真图

4.1量程电路仿真图

图4.11档位为5A时量程电路图

图4.12档位为500mA时量程电路图

图4.13档位为50mA时量程电路图

图4.14档位为5mA时量程电路图

5 结论

本次设计所采用的方案中电路原理简单,硬件电路搭建容易,而且稳定,组装好的电流表可以对待测电压进行准确的测量,其测试结果与标准电压表(万用表)测试的结果相比相差无几,而且只要电路组装稳定,读数稳定易读。但本电路也存在问题,比如液晶显示器的读数有时不稳定,出现跳动现象,这不仅与电路元件参数有关,而且与搭接的连线等有关系。本次课题也可以采用单片机及合适的A/D转换器进行设计,经过正确的编程制作一个精度更高、更稳定的电压表,同时还可以实现量程的自动转换;同时对测试的结果可以采用数码管进行显示,没有必要用液晶显示器进行显示。如果需要,A/D转换器也可以换成功能更多的器件,比如MC14433等。但在仿真的时候发现,只能局部仿真,而不能整体进行仿真,设计电路的时候,芯片的引脚很多容易混淆他们的功能,故在99制作电路图接线时容易接错。经过本次课程设计发现,99制图和仿真软件运用得不够熟练,其次对涉及到的芯片不是很熟悉,课程设计后,对以前的不足之处有了新的补充和了解,但是还是存在知识面的极度缺乏。

简易数字电流表设计报告

目录 摘要 2 关键词2 1 概述 3 1.1设计意义 3 1.2系统主要功能 3 2 硬件电路设计方案及描述3 2.1 设计方案 3 2.2 主要元器件的介绍 4 2. 3控制电路模块13 2.4 元件清单16 3数字式电流表的软件设计16 3.1系统程序设计总方案 16 3.2系统子程序设计 17 4数字式电流表的调试19 4.1软件调试 19 4.2显示结果及误差分析 20 5总结22附录1.电路原理图及仿真图23附录2. 程序代码24参考文献 26

基于单片机的简易数字电流表设计 摘要 数字电流表的诞生打破了传统电子测量仪器的模式和格局。它显示清晰直观、读数准确,采用了先进的数显技术,大大地减少了因人为因素所造成的测量误差事件。数字电流表是建立在数字电压表的基础上,让电压表与电阻串联,其显示的是电流,数字电压表是把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式,并加以显示的仪表。数字电流表把电子技术、计算技术、自动化技术的成果与精密电测量技术密切的结合在一起,成为仪器、仪表领域中独立而完整的一个分支,数字电流表标志着电子仪器领域的一场革命,也开创了现代电子测量技术的先河。本设计采用了以单片机为开发平台,控制系采用AT89C52单片机,A/D转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便进行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。简易数字电流测量电路由A/D转换、数据处理、显示控制等组成。 关键词:单片机 AT89C51 A/D转换ADC0809数据处理

1 .概述 1.1设计意义 通过课程设计,掌握电子设计的一般步骤和方法,锻炼分析问题解决问题的能力,学会如何查找所需资料,同时复习以前所学知识并加深记忆,为毕业设计打好基础,也为以后工作作准备。通过对选题的分析设计,学习数字电流表的工作原理、组成和特性;掌握数字电流表的校准方法和使用方法; 1.2系统主要功能 A、利用AD转换芯片和精密电阻测量0~20mA电流 B、系统工作符合一般数字电流表要求 2 硬件电路设计方案及描述 2.1 数字式电流表系统硬件设计 硬件电路设计主要包括:AT89S51单片机系统,A/D转换电路,显示电路。测量最大电流为20ma,显示最大值为20.00ma。本实验采用AT89S51单片机芯片配合ADC0809模/数转换芯片构成一个简易的数字电流表。 硬件电路设计由6个部分组成; A/D转换电路,AT89C51单片机系统,LED显示系统、时钟电路、复位电路以及测量电流输入电路。硬件电路设计框图如图2.1所示。 2.1数字式电流表系统硬件设计框图

基于Proteus的数字电压表设计与仿真

基于Proteus的数字电压表设计与仿真 专业:0811电子信息工程学号: 08128041 姓名:唐浩 摘要:在现代检测技术中,常用高精度数字电压表进行检测,将检测到的数据送入微型计算机系统,完成计算、存储、控制等功能。本文中数字电压表的控制系统采用AT89C51单片机,A/D转换器采用ADC0809为主要硬件,实现数字电压表的硬件电路与软件设计。该系统的数字电压表电路简单,所用的元件较少,成本低,调节工作可实现自动化,还可以方便地进行8路A/D转换的测量,远程测量结果传送等功能。数字电压表可以测量0~5V的电压值,并在四位LED数码管上轮流显示,并且应用Proteus的ISIS软件进行单片机系统设计与仿真.关键词:单片机;数字电压表;A/D转换ADC0809;Proteus Design and Simulation of digital Voltmeter Based on Proteus Abstract:In modern measuring technology, it is often required to conduct site measuring with a digital voltmeter. The data measured will then be input into the micro-computer system to execute such functions like calculating, storing, controlling, and displaying. The digital voltmeter control system described in this paper makes use of AT89C51 SC computer and ADC0809 A/D converter to fulfill the designing of the software as well as the electrical circuit. The voltmeter features in simple electrical circuit, lower use of elements, low cost and automatic regulation, while it can also easily carry out the duties of measuring A/D converted values from 8 routes and remote transfer of measuring data. The meter is capable of measuring voltage from 0 to 5 volt, and displaying the measurements in turn or only that from a selected route,and use software ISIS of Proteus to realize the circuit design and simulation.。 Keywords:Single chip microcomputer;digital V oltmeter;A/D switch ADC0809;Proteus 1 绪论 随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量最为普遍。同时随着微电子技术的迅速发展和超大规模集成电路的出现,特别是单片机的出现,正在引起测量控制仪表领域的新的技术革命[1]。 由于使用的是高效单片机作为核心的测量系统,以及灵敏度和精度较高的A/D转换器,使本直流电压表具有精度高、灵敏度强、性能可靠、电路简单、成本低的特点,加上经过优化的程序,使其有很高的智能化水平[2]。

基于QUARTUS的EDA课程设计数字频率计的仿真

成绩评定表

课程设计任务书

目录 1.设计要求 (2) 2、设计目的 (2) 3.总体设计思路及解决方案 (2) 3.1相关知识 (2) 3.3、设计思路及解决方案 (5) 4.分层次方案设计及代码描述 (5) 4.1.底层程序源码 (6) 4.2顶层程序源码 (13) 5.各模块的时序仿真结果 (16) 6.设计心得 (19)

数字频率计课程设计 1.设计要求 设计一个四位十进制的数字频率计。要求具有以下功能: (1)测量围:1HZ~10HZ。 (2) 测量误差≤1/ (3)响应时间≤15s。 (4)显示时间不小于1s。 (5)具有记忆显示的功能。即在测量过程中不刷新数据。等数据过 程结束后才显示测量结果。给出待测信号的频率值。并保存到 下一次测量结束。 (6)包括时基产生与测评时序控制电路模块。以及待测信号脉冲计 数电路模块和锁存与译码显示控制电路。 2、设计目的 通过综合性课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的,进一步理解EDA的学习目的,提高实际应用水平。 本次设计的数字频率计具有精度高、使用方便、测量迅速、便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字频率计主要包括时基产生与测评时序控制电路模块、待测信号脉冲计数电路、译码显示与锁存控制电路模块。 3.总体设计思路及解决方案 3.1相关知识 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输

基于单片机的数字电压表设计

引言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本论文重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

1 实训要求 (1)基本要求: ①实现8路直流电压检测 ②测量电压范围0-5V ③显示指定电压通道和电压值 ④用按键切换显示通道 (2)发挥要求 ①测量电压范围为0-25V ②循环显示8路电压 2 实训目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法; (3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。 3 实训意义 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 4 总体实训方案 测量一个0——5V的直流电压,通过输入电路把信号送给AD0809,转换为数字信号再送至89s52单片机,通过其P1口经数码管显示出测量值。 4.1 结构框图 如图1—1所示 图1—1

基于51单片机的数字电流表设计

湖南科技大学 单片机课程设计 题目基于单片机的数字电流表设 计 姓名 学院 专业 学号 指导教师 成绩

二〇一一年五月二十六日

单片机课程设计任务书 一、设计题目: 基于单片机的数字电流表设计 二、设计要求: 1、数字电流表在平常工作环境中能良好工作 2、能测0——1000mA电流,至少能达1%的精度 3、要求掌握I/V信号转换,A/D转换器的使用和数据采集系统的 设计 4、电流表能数字显示,且由单片机处理采集数据并驱动LED显 示

摘要 本设计是通过采样电阻及信号放大电路将待测的电流信号I转换成0—1V电压信号, 由A/D转换器采集电压信号,并将电压转换的数字信号传输给单片机,由单片机完成对采样信号的处理、分析,最后输出信号驱动LED显示器,显示被测的电压值。

目录 一、功能要求 (1) 二、原理及方案论证 (2) 三、系统硬件电路的设计 (3) 四、系统程序的设计 (4) 五、调试及设计结果 (5) 参考文献 (6)

一、功能要求 1、数字电流表在平常工作环境中能良好工作 2、能测0——1000mA电流,至少能达1%的精度 3、要求掌握I/V信号转换,A/D转换器的使用和数据采集系统的 设计 4、电流表能数字显示,且由单片机处理采集数据并驱动LED显 示 二、原理及方案论证 1、数字电流表工作原理 1.1采样电阻网络 原理如下图所示,输入被测电流通过量程转换开关S1——S4,流经采样电阻R1——R4,由欧姆定律可知:U=I*R,因而转换输出电压为0V——0.1V的电压,输出电压可再经后续放大电路放大处理。 1.2高共模抑制比放大电路 如下图,由双运放组成的同相输入高共模抑制比放大电路,其

直流数字电压表毕业设计

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

基于Multisim的数字频率计电路的设计与仿真

摘要 本论文主要介绍应用Multisim2001软件进行数字频率计的设计与仿真。 数字频率计是用数字显示被测信号频率的仪器,广泛应用于机械振动的频率、转速、声音的频率以及产品的计件等等。 Multisim操作简单方便,易于学习和掌握。应用Multisim2001软件可以进行电子电路的设计与仿真。本论文通过数字频率计的设计与仿真反映了应用Multisim2001软件进行电子电路的设计与仿真提高了电子电路设计的效率,节省了设计者的时间、设备。 关键词:数字频率计 Multisim 设计与仿真

目录 前言 第一章 Multisim2001软件简单介绍 1.1 Multisim2001简介 1.2 Multisim2001的用户界面 1.2.1 菜单栏 1.2.2 工具栏 1.2.3 Multisim2001对元器件的管理 1.3 在Multisim2001软件上绘制仿真电路 1.3.1 绘制仿真电路的过程 1.3.2 在Multisim2001软件上创建电路图 第二章课题设计 2.1 主要技术要求 2.2 设计方案图 2.3 电路简述 2.4单元电路的设计与仿真 致谢 参考文献 附件:附录图1 在Mutilsim中设计的总电路图 附录图2 被侧信号100Hz时的仿真结果图 附录图3 被侧信号45Hz时的仿真结果图

前言 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。 电子计算机的飞速发展有效地解决了这个问题。Multisim软件的良好信誉以及Multisim的卓越表现使之很快成为众多EDA用户的首选软件。Multisim操作简单方便,易于学习和掌握。并且能弥补设备种类和数量不足,充分扩展学生的思维空间,给他们更大的自由发挥的天地。使学生可以根据不同需要无限制地进行各种电路分析实验,验证实验,常规实验,设计实验。充分调动学生学习的主观能动性,培养创新能力。

基于51单片机的数字电压表设计

目录 摘要........................................................................ I 1 绪论. (1) 1.1数字电压表介绍 (1) 1.2仿真软件介绍 (1) 1.3 本次设计要求 (2) 2 单片机和AD相关知识 (3) 2.1 51单片机相关知识 (3) 2.2 AD转换器相关知识 (4) 3 数字电压表系统设计 (5) 3.1系统设计框图 (5) 3.2 单片机电路 (5) 3.3 ADC采样电路 (6) 3.4显示电路 (6) 3.5供电电路和参考电压 (7) 3.6 数字电压表系统电路原理图 (7) 4 软件设计 (8) 4.1 系统总流程图 (8) 4.2 程序代码 (8) 5 数字电压表电路仿真 (15) 5.1 仿真总图 (15) 5.2 仿真结果显示 (15) 6 系统优缺点分析 (16) 7 心得体会 (17) 参考文献 (18)

1 绪论 1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.2仿真软件介绍 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: (1)现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 (2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、 A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。 (3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 (4)具有强大的原理图绘制功能。 可以仿真51系列、A VR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的

简易数字电流表课程设计

课程设计 题目______ 简易数字电流表_____________ 二级学院电子信息与自动化 专业自动化 班级71-1 学生姓名—学号— 指导教师

2.4电路图和各元器件之间实际连接关系 3.1系统模块层次结构图 3.2程序流程图........ 3.3源程序代码........ 4测试 4.1测试方法及设备 4.2实测数据 4.3系统指标 5总结 5.1硬件电路设计总结 5.2软件程序设计总结

基于单片机的简易数字电流表设计 摘要 所谓数字电流表就是能将测得的模拟电流量经过A/D 转换转变为数字量,并在液晶显示屏上直接显示电流读数的电流表,相比针式电流表有着测量数据准确明了,读数精度高的特点,类似数字式万用表,有着相当的实用性。 随着微电子技术的迅速发展和超大规模集成电路的出现,特别是单片机的出现,正在引起测量、控制仪表领域新的技术革命。 采用单片机作为测量仪器的主控制器就是这场革命的产物之一。基于单片机的智能综合仪表是融合智能化、数字化、网络化等时代特性的新一代智能仪表,兼具指示仪表、调节仪表、积算仪表与记录仪表功能.具有高测量控制精度、高可靠性稳定性的特点。这种以单片机为主体的新型智能仪表将计算机技术与测量控制技术结合在一起,在测量过程自动化,测量结果数据处理以及功能的多样化方面都取得了巨大的进步。 作为电流直接测量和显示的必要常规仪器仪表,在注重性价比同时,必须具备精度高、稳定性好、抗干扰性强等优点。而实时响应电流变化并连续实时显示,能够真正实现动态测量的数字电流表将成为特定使用领域的标准配置。随着电子科技的快速发展,数字电流表的使用将愈发广泛。 关键词 数字电流表,电流采样,A/D 转换,单片机 1概述 1.1设计意义 通过课程设计,掌握电子设计的一般步骤和方法,锻炼分析问题解决问题的能力,学会如何查找所需资料,同时复习以前所学知识并加深记忆,为毕业设计打好基础,也为以后工作作准备。通过对选题的分析设计,学习数字电流表的工作原理、组成和特性;掌握数字电流表的校准方法和使用方法;学会分流电路的连接和计算;了解过压过流保护电路的功用。

51单片机数字电压表设计

基于51单片机的数字电压表设计 二级学院铜陵学院 专业自动化 班级 组号 组员 指导教师

简易的数字电压表的设计 目录 一课程设计任务书·····························································································································错误!未定义书签。 1.1 设计题目、目的····················································································································错误!未定义书签。 1.2 题目的基本要求和拓展功能··························································································错误!未定义书签。 1.3 设计时间及进度安排··········································································································错误!未定义书签。 二设计内容············································································································································错误!未定义书签。 2.1 元器件选型······························································································································错误!未定义书签。 2.2 系统方案确定·························································································································错误!未定义书签。 2.3 51单片机相关知识··············································································································错误!未定义书签。 2.4 AD转换器相关知识··············································································································错误!未定义书签。 三数字电压表系统设计 (7) 3.1系统设计框图 (8) 3.2 单片机电路 (9) 3.3 ADC采样电路 (10) 3.4显示电路 (11) 3.5供电电路和参考电压·························································································································································· 3.6 数字电压表系统电路原理图·········································································································································四软件部分 4.1 主程序 4.2 显示子程序 五数字电压表电路仿真 5.1 仿真总图 5.2 仿真结果显示 六系统性能分析 七心得体会 - 2 -

基于单片机的数字电流表的设计

郑州电力职业技术学院毕业生论文
题目:_基于单片机的数字电能表设计__
系 别___电力工程系______
专 业___建筑电气工程技术___
班 级_ _建筑电气班__ ___
学 号___ 09401060170__ _
姓 名____周
莉_______
论文成绩 答辩成绩 综合成绩
指导教师 主答辩教师 答辩委员会主任

目录
摘 要..........................................................3 关键词 ......................................................... 3 一、工作原理 ................................................... 4
1.1 数字电流表的工作原理 ....................................5 1.2 电流采样电路的性能 ......................................5 1.3 显示电路与电流采样电路的逻辑关系 ........................5 1.4 放大器 ..................................................5 1.5 峰值保持电路 ...........................................10 1.6 双积分型 A 转换芯片 ....................................13
D
1.7 独立式非编码键盘的接口 .................................14 1.8 LED 动态显示器接口及显示方式 ...........................14 1.9 89C51 单片机 ...........................................16 二、 测量系统的总体结构设计 ...................................20 2.1 系统框图...............................................20 2.2 整机设计 ...............................................19 三、程序流程图 ................................................ 23 四、实验结果 .................................................. 26 参考文献 .................................................... 2725
摘要
2

简易数字频率计的设计与仿真

《电子仿真技术》实训报告题目简易数字频率计的设计、仿真 所在学院电子信息工程学院 专业班级*** 学生姓名*** 学号*** 指导教师*** 完成日期* 年* 月* 日

一.设计思路 (1)电路简述 所谓频率,就是周期性信号在单位时间(1s) 变化的次数.若在一定时间间隔T测得这个周期性信号的重复变化次数为N,则其频率可表示为fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1S )信号发生周期变化的次数。如果我们能在给定的1S 时间对信号波形计数,数值保持及自动清零,并将计数结果在显示器上显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T 的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,

测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键。 (2)任务目标 利用multisim9.0软件设计一个简易数字频率计,其基本要: 1. 被测信号的频率围1KHZ~100MHZ(理想频率围); 2. 被测信号可以为正弦波、三角波或方波信号; 3. 四位数码管显示所测频率,并用发光二极管表示单位。 二、设计电路原理框图 设计方案框图如图所示: 如图所示此频率计的主体电路由时基电路、整形电路、锁存器电路和计数显示电路组成。它的工作过程是由时基电路产生一标准时间信号控制阀门,调节时基电路中的电阻可产生需要的标准时间信号。信号输入整形电路中,经过整形,输出一方波,通过阀门后,计时器对其计数。当计数完毕,时基电路输出一个上升

#简易数字电压表的设计

一、简易数字电压表的设计 l .功能要求 简易数字电压表可以测量0~5V 的8路输入电压值,并在四位LED 数码管上轮流显示或单路选择显示。测量最小分辨率为0.019 V ,测量误差约为土0.02V 。 2.方案论证 按系统功能实现要求,决定控制系统采用A T89C52单片机,A /D 转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其它A /D 转换量的测量、远程测量结果传送等扩展功能。数字电压表系统设计方案框图如图1-1。 3.系统硬件电路的设 计 简易数字电压测量电 路由A /D 转换、数据处 理及显示控制等组成,电 路原理图如图1-2所示。A /D 转换由集成电路0809完 成。0809具有8路模拟输人 端口,地址线(23~25脚)可决定对哪一路模拟输入作A /D 转换,22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存,6脚为测试控制,当输入一个2us 宽高电平脉冲时,就开始A /D 转换,7脚为A /D 转换结束标志,当A /D 转换结束时,7脚输出高电平,9脚为A /D 转换数据输出允许控制,当OE 脚为高电平时,A /D 转换数据从该端口输出,10脚为0809的时钟输入端,利用单片机30脚的六分频晶振频率再通过14024二分频得到1 MHz 时钟。单片机的P1、P3.0~P3.3端口作为四位LED 数码管显示控制。P3.5端口用作单路显示/循环显示转换按钮,P3.6端口用作单路显示时选择通道。P0端口作A /D 转换数据读入用,P2端口用作0809的A /D 转换控制。 4.系统程序的设计 (1)初始化程序 系统上电时,初始化程序将70H ~77H 内存单元清0,P2口置0。 (2)主程序 在刚上电时,系统默认为循环显示8个通道的电压值状态。当进行一次测量后,将 图1-1 数字电压表系统设计方案

基于单片机数字电压表的设计和仿真

摘要 本文介绍的是数字电压表的发展背景和利用单片机,A/D 转换芯片结合的方法设计一个直流数字电压表。它的具体功能是:最高量程为 200V,分三个档位量程,即2V,20V,200V,可以通过调档开关来实现各个档位,当测得电压的数值小于1V时,系统会自动的将电压数值转换为以mV为电压单位的电压值,并且通过按键的方法能够测得后五秒的平均电压值。 单片机是一种集成电路芯片,采用超大规模技术把具有数据处理能力(如算术运算,逻辑运算,数据传送,中断处理)的微处理器(CPU)。随着单片机技术的飞速发展,各种单片机蜂拥而至,单片机技术已成为一个国家现代化科技水平的重要标志。 单片机可单独地完成现代工业控制所要求的智能化控制功能,这是单片机最大的特征。单片机控制系统能够取代以前利用复杂电子线路或数字电路构成的控制系统,可以软件控制来实现,并能够实现智能化,现在单片机控制范畴无所不在,例如通信产品,家用电器,智能仪器仪表,过程控制和专用控制装置等等,单片机的应用领域越来越广泛。 本毕业设计的课题是"简易数字电压表的设计"。主要考核我们对单片机技术,编程能力等方面的情况。观察独立分析,设计单片机的能力,以及实际编程技能。 本课题主要解决A/D转换,数据处理及显示控制等三个模块。控制系统采用AT89C51单片机,A/D转换采用TLC2543。 关键字介绍:单片机,AT89C51,A/D 转换,TLC2543,数据处理

Abstract This paper is the background of the development of digital voltmeter and using single chip computer, A/D conversion chip design method of the combination of the party A dc digital voltmeter. It is the specific function of: supreme range for 200 V, divide a gear range, namely 2 V, 20 V, 200 V, can switch to achieve each by shifting gear gear, when the voltage of the numerical less than 1 V, the system will automatically will convert to mV voltage values for the voltage is the voltage unit, and through the key method can measure five seconds after the average voltage. MCU is a kind of integrated circuit chip, using the technology with large scale data processing ability (such as the art operations, logic operations, data transfer, interrupt handling) of the microprocessor (CPU). With the rapid development of the single chip microcomputer, all kinds of single chip in great Numbers, microcontroller technology has become a national modernization level of science and technology. SCM can complete modern industrial control alone for the intelligent control function, it is the greatest feature of single chip microcomputer. Single-chip microcomputer control system can be replaced by complex electronic circuit or before digital circuit consists of the control system system, can control software to achieve, and to realize intelligent, now single-chip microcomputer control category is everywhere, such as communication products, household appliances, intelligent instruments, process control and special control device and so on, the application field of single chip microcomputer more and more widely. This graduate design topic is "simple digital voltmeter design". We mainly examine of single-chip processor technology technique, the programming ability, etc. Observe independent analysis, design of the single chip microcomputer ability, and the actual programming skills. This subject mainly to solve A/D conversion, data processing and display control and so on three modules. The control system adopts AT89C51 single chip microcomputer, A/D conversion using ADC0809. Keywords: A single-chip microcomputer, AT89C51, A/D conversion, ADC0809, data processing

数字式直流电流表地设计

摘要 直流数字电流表的诞生打破了传统电子测量仪器的模式和格局。它显示清晰直观、读数准确,采用了先进的数显技术,大减少了因人为因素所造成的测量误差事件。数字电流表是建立在数字电压表的基础上,让电压表与电阻串联,其显示的是电流,数字电压表是把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式,并加以显示的仪表。数字电流表把电子技术、计算技术、自动化技术的成果与精密电测量技术密切的结合在一起,成为仪器、仪表领域中独立而完整的一个分支,数字电流表标志着电子仪器领域的一场革命,也开创了现代电子测量技术的先河。本设计采用了以单片机为开发平台,控制系采用AT89C52单片机,A/D转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便进行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。简易数字电流测量电路由A/D转换、数据处理、显示控制等组成。

目录 第一章引言 (1) 1.1引言 (1) 1.2课题研究的现状和发展趋势 (1) 1.3智能仪表目前发展状况 (1) 第二章设计任务及可行性分析 (3) 2.1系统设计要求 (3) 2.2系统设计思路 (3) 2.3总体结构 (3) 2.3.1数字电流表的组成 (3) 2.3.2电路设计 (4) 2.3.310倍放大器电路 (4) 2.3.4A/D转换电路 (5) 2.3.5电桥输入电路 (6) 2.3.6测量电路 (6)

第三章元器件的选择 (8) 3.1单片机的选择 (8) 3.2A/D转换器的选择 (9) 3.3LED显示电路的选择 (9) 3.4所需元器件清单 (10) 第四章数字式电流表的软件设计 (11) 4.1系统程序设计总方案 (11) 4.2系统子程序设计 (11) 4.2.1初始化程序 (11) 4.2.2A/D转换子程序 (11) 4.2.3显示子程序 (12) 4.3系统程序代码 (13) 第五章数字式电流表的调试 (14) 5.1软件调试 (16) 5.2显示结果及误差分析 (16) 5.2.1显示结果 (16) 5.2.2误差分析 (17) 第六章结论 (19) 参考文献 (20)

简易数字式频率计仿真设计

简易数字频率计仿真设计报告 班级学号姓名平时成绩答辩成绩报告成绩总分122039304 杨现涛30 122039310 郭慧泽30

目录 一、设计要求 (2) 二、设计过程 (2) 三、元器件清单 (3) 四、电路连线图 (4) 放大整形电路图 (4) 单脉冲发生器电路图 (4) 闸门电路电路图 (5) 计数部分电路图 (5) 译码显示电路图 (6) 整体电路图 (7) 五、实验(仿真结果) (8) 六、出现的问题及解决方法 (8)

一)设计要求 1)设计一个单脉冲发生器,其脉冲宽度t与手动按钮时间长短无关,与两次按钮的时间间隔无关,仅与时钟脉冲频率有关,且有下列关系: t=1/f1 2)设计一个四位十进制计数器,实现0000-9999计数。 3)将上述两种电路图组成一个简易数字式频率计。实现如图效果: 0-1 1清零信号1清 11111清零清零信号 二、设计过程 根据实验要求,要完成数字式频率计的设计任务就要了解其中包含的电路以及用到的知识及元器件。 首先经过查阅资料了解数字是频率计的原理和工作过程,下面简单介绍一下数字是频率计。数字式频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。

接地 3 双刀开关 1 导线若干 四、电路连线图 1、放大整形电路 该电路采用的是555多谐振荡器,并连接了电容,主要作用是整形波形,使进来的各种波形整形成标准的方波,以便计数器计数,具体图形如下图: 2、单脉冲发生器电路图 该部分电路图主要是采用了两片74LS74D系列的D触发器,将其两侧串联起来,从一个CP端输入f1=1hz的基准信号,另一CP端接0-1按钮,按下按钮,输出两种信号,一种为宽度为1s的单脉冲信号,用于开启闸门,另一种为清零信号,使计数器清零,具体电路图如下:

相关文档
最新文档