三分钟倒计时电路

三分钟倒计时电路
三分钟倒计时电路

第一章系统概述

1.1 系统研究内容和要求

1.1.1 项目研究的内容

本项目的名称为打电话通话三分钟倒计时电路,所要设计项目的内容如下:

(1)60秒倒计时数码显示器

(2)三个倒计时指示灯

从而满足三分钟倒计时电路,同时提醒剩余的时间。

1.1.2 项目研究的要求

(1)数码管显示的是两位60进制数。

(2)从计数时开始三个倒计时指示灯按60秒后依次熄灭。

1.2 系统的实用价值以及意义

本系统可作为电话(特别是座机)的一个附属产品,通过本系统用户可以清楚的观察到用户所拨打电话的时间;另外,由于电话是按整分钟来收取花费的,所以通过此系统用户可以尽可能的节约自己的花费,尤其是在拨打长途的时候,可见,此系统还是有一定的应用范围与价值的。

1.3 系统的理论依据和实验设备条件

本系统研究的理论依据是通过一学期《数字逻辑与数字系统》的学习,利用学到的组合逻辑电路与时序逻辑电路的基本知识来实现。实验所用到的设备是EWB仿真软件,其中用到的芯片主要是74LS192以及74138。

1.4 系统所要解决的主要问题

在本系统设计的过程中所要解决的主要问题是两片74LS192的级联,从而实现数码管显示两位六十进制;以及怎样通过74138的设计来实现三个倒计时指示灯按60秒后依次熄灭的问题。

第二章系统详细设计

2.1 系统框图

此系统的基本实现框图如下所示:

图2.1

2.2 系统的单元电路以及说明

2.2.1 信号源

信号源由EWB仿真软件来提供,具体产生的脉冲信号为1HZ的矩形方波,其中脉冲的占空比为50%。

2.2.2 计数器以及数码管显示

所用到的电路如图2.2所示,图中所示的为两片74LS192级联实现60进制的数倒数,两个七段码数码管用来实时显示输出的数,所实现的数的范围是0~59。

图2.2中靠上的一个74LS192芯片实现的是60进制的数的低位数,其范围为0~9,实现的方法以及原理是:74LS192芯片为十进制可逆计数器,即通过控制脉冲输入端DOWN、UP可以分别实现计数器的减计数和加计数,而在本系统中所用到的是减计数,故将UP置为高电平1,而将DOWN端接输入的脉冲。因此,在起始状态时将该芯片的输入端置为1001(与芯片对应为输入端的D、C、B、A),由于其计数顺序为9-8-7-6-5-4-3-2-1-0-9,而在本系统中所用到的计数正好与其功能一致,所以在此芯

片中置数端LOAD可以置为高电平1也可以如本图中所示的一样将其与下一个片中的置数端级联,由下一个芯片控制,具体设置方法将在介绍另一个芯片的时候讲到。另外其清零端没有用到,则接为低电平0。

图2.2中靠下的一个74LS192芯片实现60进制数的高位,其设置方法是:首先将清零端置为低电平0,UP端接为高电平1,初始状态时输入端(D、C、B、A)接0101,其DOWN端由上一个74LS192芯片的借位输出端BO’接入,这是因为当上一个芯片计数到0000时,若再给其一个脉冲,则会在借位端输出一个借位脉冲。由于此芯片要求的计数顺序为5-4-3-2-1-0-5,那么在此芯片计数到0时,若再来一个脉冲,其输出端(QD、QC、QB、QA)为将会输出9即为1001,此时将QD、QA经过一个与非门与此芯片以及上一个芯片的置数端连接,即通过与非门输出一个低电平使得上一个以及此芯片的置位端有效,即两芯片置数。

靠右边的一个七段码显示器与靠上的一个74LS192芯片连接实现低位显示,另一个七段码显示器则与靠下的一个74LS192芯片连接以实现高位显示。

图2.2

2.2.3 指示灯控制设备

所用到的电路如图2.3所示:

图2.3

此部分主要由一个74LS192芯片、一个74138芯片以及三个数码管构成,实现的主要功能是每当一分钟倒计时完毕后,数码管从左到右依次熄灭。

其中74LS192芯片实现的功能是加法计数,根据所要实现的功能,将此芯片主要做以下连接:由于作的是加法计数,所以DOWN端无效,即接高电平1,那么UP端则与送来的脉冲相连接。输入端(D、C、、BA)接低电平0。直接清零端CLR无效,即接为低电平0。借位端与进位端没有用到,则没有接入电路。在此部分电路不需要置位端LOAD 置位,则接为高电平1。在下一个芯片中只需要三位输入,所以只需要将此芯片中的输出端(QC、QB、QA)与下一个芯片的输入端(C、B、A)对应相连即可。

在此部分中74138芯片以及三个数码管实现的功能是由74138芯片经过译码后选择数码管的亮灭,根据所要实现的功能,此芯片的连接如下:

714138芯片的输入端(C、B、A)的连接方式已在介绍74LS192的连接方式时说明。由于此芯片处于译码状态,故将G2A’、G2B’接为低电平0,G1接为高电平1。由于在实现的过程当按下电路开关连通电路时,电路会自动给74LS192芯片一个脉冲,使其自

动计一个数

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

单片机课程设计-30秒倒计时

《30秒倒计时计时器》 课 程 设 计 专业班级:电子信息科学与技术3班 姓名:韩飘飘(080212131) 熊元甲(080212132) 蔡正军(080212133) 指导教师:郭玉 设计时间:2013-2014学年第二学期 物理与电气工程学院 2014年5月28日

目录 题目,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,1 目录,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,2 第一章方案论证,,,,,,,,,,,,,,,,,,,,,,,,,,,,3 1.1课程设计的目的和要求,,,,,,,,,,,,,,,,,,,,3 1.2总体设计,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 第二章硬件设计,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 2.1CPU部分,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 2.2 LED数码管显示器概述,,,,,,,,,,,,,,,,,,,,5 2.3其他元器件介绍及参数选择,,,,,,,,,,,,,,,,,7第三章软件设计,,,,,,,,,,,,,,,,,,,,,,,8 第四章 4.1实验调试及结果(照片),,,,,,,,,,,,,,9 4.2 心得体会,,,,,,,,,,,,,,,,,,,,,,,,,,,,9 附录A: 软件程序,,,,,,,,,,,,,,,,,,,,,,,,,10 附录B: 参考文献,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,12

第一章方案论证 1.1课程设计目的和要求 (1)目的 课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握、应用得的专门性实践类课程。通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。(2)要求 用单片机80C51的定时器实现30s,20s倒计时。本例中用两位数码管静态显示倒计时秒值。 (3)目标 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法。通过做一个综合性训练题目,达到对内容的消化、理解并提高解决问题的能力的目的。

三分钟倒计时电路

第一章系统概述 1.1 系统研究内容和要求 1.1.1 项目研究的内容 本项目的名称为打电话通话三分钟倒计时电路,所要设计项目的内容如下: (1)60秒倒计时数码显示器 (2)三个倒计时指示灯 从而满足三分钟倒计时电路,同时提醒剩余的时间。 1.1.2 项目研究的要求 (1)数码管显示的是两位60进制数。 (2)从计数时开始三个倒计时指示灯按60秒后依次熄灭。 1.2 系统的实用价值以及意义 本系统可作为电话(特别是座机)的一个附属产品,通过本系统用户可以清楚的观察到用户所拨打电话的时间;另外,由于电话是按整分钟来收取花费的,所以通过此系统用户可以尽可能的节约自己的花费,尤其是在拨打长途的时候,可见,此系统还是有一定的应用范围与价值的。 1.3 系统的理论依据和实验设备条件 本系统研究的理论依据是通过一学期《数字逻辑与数字系统》的学习,利用学到的组合逻辑电路与时序逻辑电路的基本知识来实现。实验所用到的设备是EWB仿真软件,其中用到的芯片主要是74LS192以及74138。 1.4 系统所要解决的主要问题 在本系统设计的过程中所要解决的主要问题是两片74LS192的级联,从而实现数码管显示两位六十进制;以及怎样通过74138的设计来实现三个倒计时指示灯按60秒后依次熄灭的问题。

第二章系统详细设计 2.1 系统框图 此系统的基本实现框图如下所示: 图2.1 2.2 系统的单元电路以及说明 2.2.1 信号源 信号源由EWB仿真软件来提供,具体产生的脉冲信号为1HZ的矩形方波,其中脉冲的占空比为50%。 2.2.2 计数器以及数码管显示 所用到的电路如图2.2所示,图中所示的为两片74LS192级联实现60进制的数倒数,两个七段码数码管用来实时显示输出的数,所实现的数的范围是0~59。 图2.2中靠上的一个74LS192芯片实现的是60进制的数的低位数,其范围为0~9,实现的方法以及原理是:74LS192芯片为十进制可逆计数器,即通过控制脉冲输入端DOWN、UP可以分别实现计数器的减计数和加计数,而在本系统中所用到的是减计数,故将UP置为高电平1,而将DOWN端接输入的脉冲。因此,在起始状态时将该芯片的输入端置为1001(与芯片对应为输入端的D、C、B、A),由于其计数顺序为9-8-7-6-5-4-3-2-1-0-9,而在本系统中所用到的计数正好与其功能一致,所以在此芯

课程设计30秒倒计时1

目录 前言 (1) 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 二课程设计总结...... 三参考文献...... 附录

电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。 (4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、一片74LS00,两片74LS48,一片555、一片74LS04、CC40161,共阴LED显示器2块,电阻、蜂鸣器、发光二极管等

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号####### 实验日期2015.5.26 节次5—6 教师签字成绩 基于74LS192的30秒倒计时设计 1.实验目的 1)掌握555定时器的功能; 2)了解74LS192减法计数器的功能; 3)掌握RS触发器在消除开关抖动中的应用; 4)掌握调试电路的方法; 5)掌握电路设计的基本思想和流程。 2.总体设计方案 1)30进制计数器的设计 本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示: 图1:计数器电路设计 2)T=1s的时间脉冲的设计 本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。 由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器 3)RS触发器控制电路设计 将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。 图3:RS开关控制电路 3.实验电路图 运用Multisim13绘制的实验电路图如下所示:

单片机30秒倒计时

天津工业大学 电子CAD课程设计 报告书 三、总体方案 本设计由硬件设计和软件设计两部分组成,总电路如图1所示,硬件设计主要包括单片机芯片选择,数码管选择及晶振,电容,电阻等元器件的选择及其参数的确定;软件设计主要是实现30秒倒计时程序的编写,包括利用中断实现1秒的定时及30秒的倒计时。 具体设计:通过AT89C51型号单片机,由P0 I/O引脚分别控制7SEG–MPX2–CA型号数码管,通过单片机的p2.0和p2.1控制选通数码管控制十位和个位,达到显示30秒倒计时的目的。。30秒倒计时,到0时P1.0 1KHZ 声音报警,P1.1 LED 2 秒闪烁一次。4 秒后声光停

图1 30秒倒计时总体电路设计 3.3.1硬件设计方法 AT89C51的芯片概述 AT89C51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。其工作电压在4.5-5V,一般我们选用+5V电压。外形及引脚排列如图2所示: AT89C51主要特性

图2:AT89C51的核心电路框图 LED数码管显示器概述 本设计中采用的是7SEG–MPX2 –CA型号7段共阳数码管,它是一种半导体发光器件,其基本单元是发光二极管。实物如图3所示: 图3 7SEG–MPX2–CA型号数码管 图5 程序框图

51单片机定时器数码管30秒倒计时(三个按键控制开始暂停复位)

51单片机定时器数码管30秒倒计时(带三个按键控制开始,暂停,复位) 程序: #include "at89x51.h" unsigned char code led[10]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F}; unsigned char code addr[2]={0xef,0xdf}; unsigned char xx[2]; unsigned char time=30; unsigned char flag=0; void delay(void); void sys(void) { TMOD=0x01; TH0=(65536 - 50000) / 256; TL0=(65536 - 50000) % 256; ET0=1; TR0=1; EA=1; EX0=1; IT0=1; } void ftimer0(void) interrupt 1 { static unsigned char cnt; TH0=(65536-50000)/256; TL0=(65536-50000)%256; cnt++; if(cnt>20) { if(flag==1) { time--; cnt=0; if(time==0) { ET0=0; TR0=0; } }

} } void int0(void) interrupt 0 { if(P2_0==0) { flag=1; ET0=1; } else if(P2_1==0) { ET0=0; } else if(P2_2==0) { time=30; ET0=0; TR0=1; } } void main() { unsigned char a; sys(); for(;;) { for(a=0;a<2;a++) { xx[0]=time/10; xx[1]=time%10; P0=led[xx[a]]; P1=addr[a]; delay(); P1=0xff; } } } void delay(void) { long t=100; while (t--); }

数电课程设计-30秒倒计时器

30秒倒计时计数器设计 ——数字电子计数基础课程设计 学院:计算机学院 专业班级:通信工程10-2班 时间:2013年1月7日

目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9)

参考文献 …………………………………………………………………………………10 附录: 一、电路原理图 .................................................................................11 二、元器件明细表 (11) 设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能; 2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3、计时器计时间隔为1秒; 4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5、计时器暂停计数时,数码管闪烁提醒; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 倒计时计数器原理组成框图 秒定时振荡 发生器 减法计数器 数码管译码器 七段数码管显示 控制电路 闪烁报警电路

30秒倒数计时器设计

一.实验目的 理解倒计时器工作原理,实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。它是由时钟脉冲产生电路、计数电路、译码驱动及显示电路、报时电路及电源电路组成。时钟脉冲采用555定时器构成多谐振荡电路产生,通过EDA软件Multisim10绘制了电子电路仿真原理图,并进行仿真,同时用万能板焊接制作了硬件实现电路。 二.系统原理框图 图1系统原理框图 一.1秒脉冲发生器:秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。 不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。一般情况下,要做出一个精度比较高的频率很低的振荡器有一定的难度工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分级项数。 2.30秒减法计数器: 30秒减法计数器采用74LS192设计,74LS192是十进制同步加法|减法计数器,采用8421BCD码编码,具有直接清零异步置数功能。

3.控制电路按照系统的要求,电路应该完成以下4个功能; 1)当操作直接清零按键时,要求计数器清零。 2)当启动按键闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,显示器显示30秒字样。当启动按键释放时,计数器开始减法计数。 3)当暂停连续开关处于暂停状态时,控制电路封锁计数脉冲,计数器停止计数,显示器显示原来的数,而且保持不变,当暂停连续开关处于连续状态时,计数器正常计数,另外,外部操作开关都应该采取消抖措施,以防止机械抖动造成电路工作不稳定。 4)当计数器递减到零时,控制电路输出报警信号,计数器保持状态不变。 三.方案设计与论证 3.1时间脉冲产生电路 方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。 方案二:由14位二进制串行计数器/分频器和振荡器CD4060、BCD同步加法计数器CD4518构成的秒信号发生器。

数电课程设计 篮球30秒计时器

课程设计任务书 学生姓名:刘斌专业班级:通信0901 指导教师:李文工作单位: 题目: 篮球30秒计时器 1 要求完成的主要任务: 用中、小规模集成电路设计一台能显示并进行控制的30倒计时器,要求如下: 1.具有显示30秒计时的功能。 2.设置外部工作开关,控制计时器的直接清零,启动与暂停/连续的功能。 3.计时器为30秒递减,时间间隔为1秒。 4.在直接清零是要求显示管灭灯。 5.计时器递减到零时,数码显示管不能灭灯,同时发出光电报警。 2 时间安排: 时间:第1-12周理论设计,软件仿真,第15-18周实验室焊接、安装调试。 地点:理工楼E604实验室 学生签名:年月日 指导教师签名:年月日 多功能数字钟电路设计

摘要 (1) Abstract (2) 1系统原理框图 (2) 2方案设计与论证 (3) 2.1秒脉冲发生电路 (4) 2.2控制电路 (5) 2.3计数电路 (7) 2.4译码及显示单元电路 (8) 2.5报警电路......................................................................................... 错误!未定义书签。3单元电路的设计 (11) 3.1秒脉冲产生电路的设计 (11) 3.2计数电路的设计 (11) 3.3控制电路的设计 (13) 3.4译码及驱动显示电路设计 (12) 3.5 报警电路 (16) 3.6 电路总图 (14) 4仿真结果及分析 (15) 4.1 时钟结果仿真................................................................................ 错误!未定义书签。 4.2 秒钟个位时序图............................................................................ 错误!未定义书签。 4.3报时电路时序图............................................................................. 错误!未定义书签。 4.4测试结果分析................................................................................. 错误!未定义书签。5心得与体会................................................................................................ 错误!未定义书签。6参考文献 (18) 附录1原件清单 (19) 附录2系统原理图....................................................................................... 错误!未定义书签。

99分钟倒计时器

目录 摘要及关键词 (2) 1 设计总体思想 (2) 1.1 设计要求及目的 (2) 1.2 设计方案分析 (2) 1.3 设计方案比较 (4) 2 局部硬件电路设计 (5) 3 原理图及流程图 (7) 3.1 系统原理框图 (7) 3.2 总体硬件连线图 (8) 3.3 主程序流程图 (9) 3.4 调时子程序流程图 (10) 3.5 中断子程序流程图 (11) 4 Proteus调试 (12) 4.1 Proteus调试过程及现象 (12) 4.2调试问题及解决方法 (13) 5 设计优缺点 (13) 6 心得体会 (13) 7 参考文献 (14) 附:实验源程序 (15)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动着传统控制检测日新月异的更新。由于单片机具有体积小、易于产品化、面向控制、集成度高、功能强、可靠性高、价格低等特点,其在工业控制、机电一体化、智能仪表、通信等诸多领域中得到了广泛的应用。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用。但是仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本设计针对倒计时系统的需求,介绍了MCS-51单片机的部分基本原理,如51单片机的接口功能、中断、定时器等等。倒计时系统需要用到锁存器、独立式按键键盘、LED数码显示器等主要模块,通过不同的模块之间相互作用,完成倒计时的初步硬件结构。对于倒计时器中的LED数码显示器来说,我为了简化线路、降低成本,采用以软件为主的接口方法,即采用Keil uVision2软件程序进行译码。 本次设计采用汇编语言编程,通过倒计时子程序模块、独立式键盘扫描模块、中断等子程序的正确调用,完成了可以随时设置初值的基于51单片机控制的99分钟倒计时系统。 【关键词】倒计时器单片机独立式键盘proteus LED数码显示器 1.设计总体思想 1.1、设计要求及作用目的: 要求:由单片机接收小键盘阵列设定倒计时时间,倒计时的范围最大为99分钟,由LED 显示模块显示剩余时间,显示格式为 XX(分):XX(秒).X,精确到0.1s的整数倍。倒计时到,由蜂鸣器发出报警。绘制系统硬件接线图,并进行系统仿真和实验。画出程序流程图并编写程序实现系统功能。 目的:此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。 1.2、设计方案分析: 在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今的人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的,如何能做到智能化呢?单片机的引入就是一个很好的例子。单片机又称单片微型计算机,也称为微控制器,是微

倒计时计时器

一.设计任务和要求 倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间 为999分钟。它还可以用做倒计时记数,最长记时时间为999 秒,有三位数码管显示记数状态。用三个可预置数的减计数器 组成三个二-十进制减计数器。用三个译码器和三个LED数码显 示器,COMSS电路组成秒/分选择器。另外有控制电路,控制器 随着计数器计数的状态发生改变,计时期间,用电气开关断开。 当计时完毕时,用电气开关闭合。 (1)用三个可预置数的减计数器组成三个二-十进制减计数器。 (2)用三个译码器和三个LED数码显示器,COMSS电路组成秒/分 选择器。 二.设计的作用与目的 (1) 实现定时开或者定时关,最长定时时间为999分钟。 (2)用做倒计时记数,最长记时时间为999秒。 1

三.倒计时计时器的设计 1.倒计时计时器系统概述 用时钟脉冲发生器来产生频率为1Hz的脉冲,即输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的 CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经 过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,或者将该方波脉冲信号送到减法计数器CD40110 的CP减计数脉冲端,通过计数器把8421BCD码经过内部作和电 路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制 数,然后在适当的位置设置开关或控制电路即可实现计数器的 直接清零,启动和暂停/连续、译码显示电路的显示。在 74LS192输入端设置4个开关,通过开关的高低电平状态从而实 现999秒内任意时间的倒计时。在电路中加入停止器使其倒计 时到000时停止计时并且蜂鸣器响。 系统设计框图如图1 2

30秒倒计时课程设计报告

信息与计算机学院 课程设计 课程设计报告 (2011/2012 第1学期) 设计题目30秒定时器 指导教师 学生班级 学生姓名 学生学号 考核成绩 上海商学院 2011年11月

内容摘要 此30秒计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能。可以方便的实现断点计时功能,当设计器递减到零时,会发出光电报警信号。在直接清零时,数码管显示器直接显示为00;计时器为30秒递减计时,计时间隔为1秒;计时器递减到0时,再回到30。 此设计器设计时,采用模块化得设计思想,使设计起来更加简单、方便、快捷。 关键词:秒脉冲发生器74LS192 计数器译码显示电路

目录 第一章引言 (1) 1.1课程设计意义 (1) 1.2研究状况概述 (1) 第二章课程设计分析 (2) 2.1 设计目的: (2) 2.2 设计思路: (2) 第三章系统方案论证过程 (3) 3.1 30秒定时器总体方框图 (3) 第四章模块电路设计 (4) 4.1秒脉冲电路 (4) 4.2 减计数电路 (4) 4.3 译码和数码显示电路 (5) 4.4时序控制电路.................................................................................. 错误!未定义书签。 4.5 整机框图 (6) 第五章主要元器件与设备 (8) 5.1元器件清单 (8) 第六章系统调试与结果分析 (9) 6.1 系统调试 (9) 6.2 结果分析......................................................................................... 错误!未定义书签。第七章总结.. (10) 参考文献 (10) 致谢 (10) 附页: (11)

篮球竞赛30秒倒计时电路设计

篮球竞赛30秒倒计时电路设计 摘要 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。 要实现30秒倒计时的功能,必须要有一个脉冲,本设计是以555构成震荡电路,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD码经过内部作用和电路“翻译”成七段(a,b,c,d,e,f,g)输出,然后直接推动LED,显示十进制数。显示部分采用共阴极七段数码管,整个线路就是把以上几个主要的部分用导线连接焊接起来。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动和暂停/连续计时,还具有报警功能。

关键词:倒计时;译码显示;光电报警 目录 1 引言 (1) 2 设计任务及要求 (2) 2.1篮球竞赛30秒计时器(基本要求) (2) 2.2设计任务及目标 (2) 3 电路设计原理与设计电路 (2) 3.1 设计原理 (2) 3.2设计方案 (3) 3.3单元模块 (4) 3.3.1时钟模块 (4)

3.3.2 8421BCD码递减计数器模块 (8) 3.3.3 控制电路 (11) 3.3.4 译码显示模块 (11) 3.3.5 报警电路模块 (13) 4 电路仿真、安装与调试 (14) 4.1 电路仿真 (14) 4.2 电路制版与焊接 (15) 4.3 电路安装 (15) 4.4 电路调试 (15) 5 结论 (15) 参考文献 (16) 附录A 原件清单 (16) 附录B 实物图 (17) 附录C PCB图 (18) 篮球竞赛30秒倒计时电路设计 1 引言 随着科学技术与计算机应用的不断发展,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此设计一款30秒计时器是非常有必要也非常有前景的。

篮球比赛30秒倒计时电路设计说明

篮球竞赛30s倒计时的设计 1课程设计背景 二十一世纪,人类迈入信息时代,电子科学技术在人们生活中的运用越来越普遍。运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此设计一款30秒计时器是非常有必要也非常有前景的。 该款计时器是在原来的基础上把24秒制改为30秒制。该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。 本设计是74LS192芯片作为减数功能的30秒倒计时计数器。该计数器主要包括555构成的震荡电路,74LS192模块,数码管等模块单元。经测试,计数器可实现显示30秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动功能,发出光电报警信号。该设计电路简单、使用方便,功能稳定多样,具有很强的实用价值。 2课题设计要求 (1)具有显示30S的计时功能; (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能; (3)计时器为30S递减计时器,其计时间隔为1S; (4)计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号 3设计任务及目标 (1)根据给出的电路原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的安装、调试,直到电路能达到规定的设计目标; (4)写出完整、详细的课程设计报告。 4课题设计框图

例题:一分钟倒计时器

上传者:jdchen 例题:一分钟倒计时器 电路原理图: 5V 蜂鸣器 BELL 9012 PNP R 1KΩ R 200Ω VCC P3.7 蜂鸣器电路 (晶振电路、电源电路省略) 1 2 3 4 5 6 7 8 1 234 56781 2 3 4 5 6 7 8 9 9 排阻 102 P0.0P0.1P0.2P0.3P0.4P0.5P0.6P0.7VCC 12345678 161514131211109 1KΩ 8×1KΩ 9015PNP 9015 PNP 9015PNP 9015PNP 1KΩR 1KΩR 1KΩR 1KΩR P2.7 P2.6 P2.5 P2.4 VCC 四合一七段数码管 12 34 中断按键BUTTEN 1KΩ R P3.3INT1 104 瓷片电容按下按钮1-3、2-4接通 中断按键 E D DP C G S4 S1A F S2S3B 5461BH 123456789101112131415161718192021 2223 24 2526272829 3031 32333435 36 37383940 P 1.0P 1.1P 1.2P 1.3P 1.4 P 1.5P 1.6P 1.7RST P 3.0P 3.1P 3.2P 3.3P 3.4P 3.5P 3.6P 3.7X TA L 2X TA L 1 G N D (T 2) (T 2EX )(MO SI)(MO SO)(SCK)(RX D ) (T X D )(I N T0) (I N T1)(T 0) (T 1) (W R) (RD ) V CC P 0.0P 0.1P 0.2P 0.3P 0.4P 0.5P 0.6P 0.7E A/VP P A LE /P ROG P SE N P 2.0P 2.1P 2.2P 2.3P 2.4P 2.5P 2.6P 2.7(A D 0)(A D 1)(A D 2)(A D 3)(A D 4)(A D 5)(A D 6)(A D 7)(A 8)(A 9)(A 10)(A 11)(A 12)(A 13)(A 14)(A 15)U? AT89S52 倒计时器电路 参考程序:程序由初始化主程序、外部中断服务程序和定时器中断服务程序组成。 ;*************************************************************************** ; INT1第一次中断启动倒计时,从60秒开始自动计数减1,在右边两个数码管上显示, ; 当计数到零停止,并发出提示音; ; ITN1第二次中断停止倒计时,显示当前值,ITN1第三次中断开始新的一轮倒计时。 ;*************************************************************************** ;主程序 ORG 0000H AJMP MAIN ORG 000BH AJMP TIM1 ORG 0013H AJMP INT1S ORG 0030H MAIN: MOV SP,#0a0H MOV 7aH,#06H;置显示缓冲区初值 MOV 7bH,#00H MOV 7cH,#10H MOV 7dH,#00H

51单片机定时器数码管30秒倒计时(三个按键控制开始暂停复位)

程序: #include "" unsigned char code led[10]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F}; unsigned char code addr[2]={0xef,0xdf}; unsigned char xx[2]; unsigned char time=30; unsigned char flag=0; void delay(void); void sys(void) { TMOD=0x01; TH0=(65536 - 50000) / 256; TL0=(65536 - 50000) % 256; ET0=1; TR0=1; EA=1; EX0=1; IT0=1; } void ftimer0(void) interrupt 1 { static unsigned char cnt; TH0=(65536-50000)/256; TL0=(65536-50000)%256; cnt++; if(cnt>20) { if(flag==1) { time--; cnt=0; if(time==0) { ET0=0; TR0=0; } } } } void int0(void) interrupt 0 { if(P2_0==0) { flag=1;

ET0=1; } else if(P2_1==0) { ET0=0; } else if(P2_2==0) { time=30; ET0=0; TR0=1; } } void main() { unsigned char a; sys(); for(;;) { for(a=0;a<2;a++) { xx[0]=time/10; xx[1]=time%10; P0=led[xx[a]]; P1=addr[a]; delay(); P1=0xff; } } } void delay(void) { long t=100; while (t--); } 电路图及结果:

数电课程设计-30秒倒计时器

定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13 时间:2015年1月4日

目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1. 具有30S 减计时功能,计时时间到后发出声光报警信号(点亮LED ,喇叭鸣叫); 2. 计时时间精确(用石英振荡器产生秒信号); 3. 用数码管显示剩余时间; 4. 具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 倒计时计数器原理组成框图 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s ;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减法计数器的控制端实现对电路保留、启动、清零/复位和暂停/继续计数功能的控制;利用JK 触发器的翻转状态特性和译码器BI/RBO 端的控制实现闪烁报警功能。 秒定时振荡 发生器 减法计数器 数码管译码器 七段数码管显示 控制电路 闪烁报警电路

相关文档
最新文档