数字频率计

Verilog HDL数字频率计

目录 目录 第一章测量原理与方法 (2) 1.1测频方法 (2) 1.2测周方法 (3) 1.3等精度测量法 (3) 1.4放大整形电路 (4) 1.5时基信号产生 (5) 第二章任务要求 (6) 第三章各模块功能及介绍 (6) 3.1分频器 (6) 4.2闸门选择器 (8) 4.3频率计数器 (10) 4.4锁存器 (12) 4.5扫描显示控制译码系统 (13) 第四章顶层电路及总体仿真 (14) 4.1顶层电路 (14) 4.2总体仿真结果 (14) 4.3测试结果 (17) I

2 第一章 测量原理与方法 所谓“频率”,就是周期性信号在单位时间(秒)内变化的次数。若在一定的时间间隔T 内计数,计得某周期性信号的重复变化次数为N ,则该信号的频率可表达为: f = N / T 所以测量频率就要分别知道N 和T 的值,由此,测量频率的方法一般有三种:测频方法、测周方法和等精度测量。 1.1 测频方法 这种方法即已知时基信号(频率或周期确定)做门控信号,T 为已知量,然后在门控信号有效的时间段内进行输入脉冲的计数,原理图如下图所示: 图 1-1 测频方法原理图 首先,被测信号①(以正弦波为例)经过放大整形后转变成方波脉冲②,其重复频率等于被测信号频率。把方波脉冲②加到闸门的输入端。由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T 是非常准确的(由石英振荡器决定)。门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。闸门开 被 测 信计数器 ① ②

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

《用AT89C51制作八位数字频率计》源程序(精)

《用AT89C51制作八位数字频率计》一文的完整程序清单及注释 ORG 00H ;指定下条指令的地址 AJMP MAIN ;跳转至MAIN 50M INC 23H RETI ORG 001BH ;定时器T1中断入口,T1作定时,T0作计数 PUSH A ;累加器A压入堆栈 PUSH PSW ;状态寄存器压入堆栈 DJNZ 40H,JJ ;产生1s定时时标 MOV 40H,#0C8H DJNZ 41H,JJ CLR P3.1 ;关闭闸门 ANL 88H,#0AFH ;1s末,关闭T0和T1 MOV 20H,P1 MOV 21H,TL0 ;T0计数值送21H和22H MOV 22H,TH0 SETB P3.0 ;LS393清零 ACALL COUNT ;调用二进制转BCD码程序 JJ: POP PSW POP A RETI ORG 50H ;以下程序从地址50H开始 MAIN: MOV SP,#50H ;将初始值赋予SP MOV TH1,#06H ;将初始值赋予TH0

MOV TL1,#06H ;将初始值赋予TL0 MOV TMOD,#25H ;设定时器方式 SETB TR0 ;启动计数器0 SETB TR1 SETB EA SETB ET1 SETB ET0 MOV 40H,#0C8H MOV 41H,#28H MOV 30H,#78H MOV 31H,#56H MOV 32H,#34H MOV 33H,#12H MOV R2,#00H MOV 23H,#00H HERE: MOV DPTR,#TABLE ;动态扫描程序 CLR C MOV A,R2 RLC A JMP @A+DPTR TABLE: AJMP PG0 AJMP PG1 AJMP PG2 AJMP PG3 AJMP PG4 AJMP PG5

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计--鉴定优秀

前言 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的电信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。因此它是一种测量范围较广的通用型数字仪器。 设计要求: 1.被测信号的频率范围100HZ~100KH; 2.输入信号为正弦信号或方波信号; 3.四位数码管显示所测频率,并用发光二极管表示单位; 4.具有超量程报警功能;

第一章系统概述 1.1基本原理 数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。 1.2系统框图 系统框图: 图1数字频率计框图 1.3系统各部分的功能设计 1.3.1波形整形电路 0° 图2

1.3.2 分频器 U2A 4518BD_5V 1A 31B 41C 51D 6 EN12MR17CP1 1 图3(a ) 图3(b ) 分频器的作用是为了获得 1S 的标准时间。电路中首先用两片如图3(a )所示的分频器对经过整形后得到的 100Hz 信号进行 100 分频得到如图4( a )所示周期为 1S 的脉冲信号。然后再用D 触发器如图3(b )进行二分频得到如图4( b )所示占空比为 50 %脉冲宽度为 1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在 1S 时间内通过控制门的被测脉冲的数目。 图4示波器输出波形 1.3.3 信号放大、波形整形电路 为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路(如图5所示),波形整形采用555构成的施密特触发器(如图6所示)

简易数字频率计课程设计报告书

一、课题名称与技术要求 <1>名称:简易数字频率计 <2>主要技术指标和要求: 1. 被测信号的频率围100HZ~100KH 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 二、摘要 以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。 关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路 三、方案论证与选择 <1>频率测量原理与方法 对周期信号的测量方法,常用的有下述几种方法。 1、测频法(M法) 对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。TG为标准闸门宽度,N1是计数器计出的脉冲个数,

设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。但是,增大TG会使频率测量的响应时间长。当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f 由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此,M法适合于对高频信号的测量,频率越高,测量精度也越高。 测频法原理图 2、测周法(T法) 首先把被测信号通过二分频,获得一个高电频时间和低电平时间都是一个信号周期T的方波信号;然后用一个已知周期的高频方波信号作为计数脉冲,在一个信号周期T的时间对此高频信号进行计数。若在T时间的计数值为N2,则有 T2=N2*Tosc f2=1/T=1/(N2* Tosc)= fosc/N2 N2的绝对误差为△N=±1 N2的相对误差为&N2=(N2-N)/N=(N±1-N)/N=±1/N 从T2的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频你标准计数信号的频率成反比。当fosc为常数时,被测信号频率越低,误差越小,测量精度也就越高。

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计数字频率计设计开题报告 选题意义及国内外发展状况 本课题主要研究如何用单片机来设计数字频率计。因为在电子技术中,频率的测 量十分重要,这就要求频率计要不断的提高其测量的精度和速度。在科技以日新 月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办 事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计电路时, 都趋 向于用尽可能少的硬件来实现, 并且尽力把以前由硬件实现的功能部分, 通过软 件来解决。因为软件实现比硬件实现具有易修改的优点, 如简单地修改几行源代码就比在印制电路板上改变几条连线要容易得多, 故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。 单片机就属于这一类设计电路,单片机因其功能独特和廉价已在全球有数???千 种成功的范例, 在国内也开发出了充电器、空调控制器、电子定时器、汽车防盗 器、卫星接收机以及各种智能仪表等实用产品。频率计也是单片机的一种很重要

的应用, 价格低廉且具有实际意义。虽然使用逻辑分析仪也可以很好的测量信号 的频率等参数,但其价格太昂贵。实现测量的数字化、自动化、智能化已成为各 类仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这 一设计理念。 说到用单片机设计的频率计,这里说一下单片频率计ICM7216D。单片频率计ICM7216D是美国Intersil公司首先研制的专用测频大规模集成芯片。它是标准的28引脚的双列直插式集成电路,采用单一的+5V稳压电源工作。它内含高频振荡器、10进制计数器、7段译码器、位多路复用器、能够直接驱动LED显示器的8段段码驱动器、8位位码驱动器。其基本的测频范围为DC至10MHz,若加预置的分频电路,则上限频率可达40MHz或100MHz,单片频率计ICM7216D只要加上晶振、量程选择、LED显示器等少数器件即可构成一个DC至40MHz的微型频率计,可用于频率测量、机械转速测量等方面的应用。还有,PTS2600是英国研制的一款微波频率计,该频率计可以测量频率高达26GHz的信号,而价格才只有几万元,可谓是物美价廉。PTS2600虽然是一个低价格的微波频率计,但它能在四个波段有很好的灵敏度测量40Hz到20GHz的频率。也可以用它来测量高达26GHz的频率,只是灵敏度稍稍低了一些。日常工作中,用它来测量 VF/VHF/UHF频段的频率,也十分方便和准确。PTS2600使用一个12位数字的LCD 液晶显示屏来显示所测得的频率、闸口时间(分辨率相关)、菜单功能以及频率表的测量 结果。所有这些数值都是同时显示在一个屏幕上的。PTS2600的机箱采用高标准的铝质材料制成,各模块安装在下方有钢板支承的母板上。模块相对独立,维修

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

数字频率计设计报告

数字电子技术课程设计 数字频率计的设计 姓名:杜昌波 学院:工学院 专业:电气工程及其自动化 学号:12100 505 指导教师:刘权吴敏 2014年06月04日

目录 目录 (2) 1 设计任务与要求 (3) 1.1 基本功能 (3) 1.2 扩展功能 (3) 2 设计原理 (3) 3 电路设计 (4) 3.1 整形电路 (4) 3.2脉冲波形产生及分频电路 (6) 3.3 闸门电路 (8) 3.4 计数电路 (9) 3.5 锁存显示电路 (11) 3.6 超量程报警显示电路 (12) 3.7 单稳态触发器电路 (13) 3.8 整机电路 (14) 3.9 说明 (15) 3.10 仿真结果 (15) 4 元器件清单 (19) 5 设计体会 (21) 参考资料 (22)

数字频率计的设计(第十组) 1 设计任务与要求 1.1 基本功能 1)能够测量正弦信号,矩形信号等波形的频率; 2)测量信号的频率范围为1HZ~100KHZ,分辨率为1HZ; 3)测量结果直接用十进制数值计数,通过五个数码管显示; 4)具有自较和测量两种功能; 5)测量误差小于5%; 6)多谐振荡器采用12M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 扩展功能 1)分成四个频段,即1~99Hz,100~1KHz,1~10KHz,10~100KHz; 2)有超量程警告功能,当测量信号频率超过所选档位的量程时,频率计发出铃声警报。 2 设计原理 脉冲信号的频率就是在单位时间(1s)里产生的脉冲个数,若在一定时间间隔tw内测得这个周期信号的重复变化次数为N,则其频率可表示为: f=N/T 数字频率计的总体框图如图1所示: 图1

数字频率计(51单片机)

自动化与电子工程学院单片机课程设计 报告 课程名称:单片机原理与应用 学院:自动化与电子工程院 专业班级: 学生姓名: 完成时间: 报告成绩:

目录 第1章数字频率计概述 (2) 1.1数字频率计概述 (1) 1.2数字频率计的基本原理 (1) 1.3单脉冲测量原理 (2) 第2章课程设计方案设计 (2) 2.1系统方案的总体论述 (2) 2.2系统硬件的总体设计 (3) 2.3处理方法 (3) 第3章硬件设计 (4) 3.1单片机最小系统 (4) 第4章软件设计 (5) 4.1系统的软件流程图 (5) 4.2程序清单 (7) 第5章课程设计总结 (7) 参考文献 (8) 附录Ⅰ仿真截图 (9) 附录Ⅱ程序清单 (15)

第1章数字频率计概述 1.1数字频率计概述 数字频率计又称为数字频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量方波信号及其他各种单位时间内变化的物理量。 本数字频率计将采用定时、计数的方法测量频率,采用6个数码管显示6位十进制数。测量范围从10Hz—5.5kHz,精度为1%,用单片机实现自动测量功能。 基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量频率的方法对方波的频率进行自动的测量。 1.2数字频率计的基本原理 数字频率计最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T(如图1.1所示)。 图1.1 频率测量原理 频率的测量实际上就是在1s时间内对信号进行计数,计数值就是信号频率。用单片机设计频率计通常采用的办法是使用单片机自带的计数器对输入脉冲进行计数;好处是设计出的频率计系统结构和程序编写简单,成本低廉,不需要外部计数器,直接利用所给的单片机最小系统就可以实现。缺陷是受限于单片机计数的晶振频率,输入的时钟频率通常是单片机晶振频率的几分之一甚至是几十分之一,在本次设计使用的AT89C51单片机,由于检测一个由“1”到“0”的跳变需要两个机器周期,前一个机器周期测出“1”,后一个周期测出“0”。故输入时钟信号的最高频率不得超过单片机晶振频率的二十四分之一。根

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

multisim简易数字频率计

哈尔滨工业大学 简易频率计的仿真设计

目录 1设计要求 2?总电路图及工作原理 3?电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4.电路的测试 5.分析与评价 附录:元器件清单

1 ?设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz 3. 显示方式:4位十进制数显示。 2. 电路工作原理 频率计总电路图如下所示: 2単汙汕驚工 ---------- k

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3. 电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特 触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示:

L 1 1 r 1 r 闸门电路 3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所 标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计 数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入 72 R2 VA - IS. THZ R 71 C5 lOnF ZFG ■ 丄 D1 X1 N?07 75 D2 jtl NdOOT

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

相关文档
最新文档