基于Protues数字频率计的设计与仿真

基于Proteus的数字频率计设计与仿真

摘要:本文主要论述了利用单片机AT89C51进行频率、周期、时间间隔、占空比测量的设计过程。该频率计采用测量N个信号波形周期的算法,充分利用单片机AT89C51中三个可编程定时/计数器,结合部分中规模数字电路,克服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点,实现了频率、周期、时间差、占空比的高精度测量,结果的显示。该数字频率计的硬件系统电路由前置整形电路、分频电路、基准信号源、单片机电路和数字显示电路构成。其中单片机电路又由单片机、数据选择器、键盘、状态指示电路构成。软件系统由主程序、键盘子程序、显示子程序、测量子程序、脉冲高、低电平宽度测量子程序构成,由汇编语言编写。通过硬件系统和软件系统的相互配合,成功的实现了频率、周期、时间差、占空比的高精度测量,系统的自校和测量结果的显示。

关键词:数字频率计;周期;单片机

Digital Frequency Measure Design and Simulation Based on Proteus

Abstract:This article mainly discusses the design process of us ing single-chip AT89C51to measure frequency, cycle, time interval and duty cycle. U s ing the algorithm of measur ing N signal cycle, mak ing full use of the three programmable timer / counter of single-chip AT89C51, combined with some digital circuits, t he frequency meter overcome s the shortcomings of the measurement accuracy reduces with the reduction of the frequency of the measured signal by t he frequency meter based on the principle of traditional measurement of frequency , achieves high-precision measurements of the frequency, cycle, time difference and duty cycle, displays the results. The hardware system circuit s of the digital frequency meter is made up of the pre-shaping circuit, sub-frequency circuit, reference signal source, single-chip circuit, digital display circuit and DC power supply regulator circuit. Of it, the s ingle-chip circuit consists of single-chip, data selector and keyboards. The s oftware system is made up of main program, keyboard s ubroutine, display subroutine, measurement subroutine, pulse high and low level width measurement subroutine, prepared by the assembly language. T hrough the cooperat ion with each other of the h ardware system and software system,t he frequency meter successfully achieves high-precision measurements of frequency,cycle, time difference, and duty cycle, finishes s ystem calibration and the display of measurement results.

Keywords:d igital frequency meter;cycle; single-chip

1绪论

·1.1课题研究的意义

随着科学技术的发展,尤其是单片机技术和半导体技术的高速发展,频率计的研究及应用越来越受到重视,这样对频率测量设备的要求也越来越高。目前的微处理器芯片发展迅速,出现诸如DSP、FPJA等不同领域的应用芯片。而单片机是一门发展极快,应用方式极其灵活的使用技术。它以灵活的设计、微小的功耗、低廉的成本,在数据采集、过程控制、模糊控制、智能仪表等领域得到广泛的应用,极大的提高了这些领域的技术水平和自动化程度。51系列单片机是国内目前应用最广泛的一种8位单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用。51系列及其衍生单片机还会在继后很长一段时间占据嵌入式系统产品的低端市场,因此,作为新世纪的大学生,在信息产业高速发展的今天,掌握单片机的基本结构、原理和使用是非常重要的。本次课程设计的内容是使用AT89C51单片机最小系统设计频率计系统,系统以单片机为主控单元,主要用于对方波频率的测量。

·1.2 频率计研究的现状及发展趋势

频率计是一种基础测量仪器,到目前为止已有30多年的发展历史。传统的数字频率计可以通过普通的硬件电路组合来实现,其开发过程、调试过程十分繁琐,而且由于电子器件之间的互相干扰,从而影响频率计的精度,同时由于其体积较大,已经不适应电子设计的发展要求。随着科学技术的发展,频率计也日益发展。目前已经有操作方便、量程(足够)宽、可靠性高的频率计;也有适应高分辨率、高精度、高稳定度、高测量速度的频率计。除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等功能等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于科学工作者来说,还有许多工作要做,而不是表面看来似乎发展到头了。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量频率计的技术水平,决定频率计价格高低的主要依据。目前这些基本技术日臻完善、成熟。应用现代技术可以轻松地将频率计的测频上限扩展到微波频段。

在测试通讯、微波器件或产品是,常常需要测量频率,通常这些都是较复杂的信号,如含有复杂频率成分、调试的或含有未知频率分量的、频率固定的或者变化的、纯净的或叠加有干扰的等等。为了能正确的测量不同类型的信号,必须了解待测量信号的特性和各种频率测量仪器的性能。需要根据其附加特性或价格来慎重选择。

2方案设计与论证

·2.1设计原理

测量方法通常有三种:直接测量法、间接测量法、直接与间接测量结合法。

·

这种方法的测量原理是:由于频率是单位时间内信号发生周期变化的次数,使得我们可以在给定的单位时间1S内(称为闸门)对被测信号的脉冲数计数,得到的脉冲个数就是被测信号的频率。如图2-1:

图2-1 直接测量法

·

这种方法的原理是用被测信号的周期作为闸门,在该闸门时间内允许已知标准的短周期间隔的较高频率的信号通过,通过数字电路或微型计算机的运算,通过闸门的已知信号频率的个数越多,其被测频率就越低。如图2-2:

图2-2 间接测量法

本设计中采用第一种设计方案,方法二中由于时间的未知,采用单片测量时会增加软件设计的难度,故采用方案一。

·3硬件设计

·3.1整体方案框图及原理图

本频率计的数据采集系统主要元器件是单片机AT89C51,由它完成对待测信号频率的计数和结果显示等功能,外部还要有以下几个模块:放大整形模块、时钟脉冲产生模块、按键模块、单片机系统、LED显示模块。各模块关系图如图3-1所示:

图3-1 关系模块图

采用protues绘制的原理图如图3-2所示:

图3-2 原理图

3.2 AT89C51单片机及其引脚说明:

89C51是一种高性能低功耗的采用CMOS工艺制造的8位微控制器,它提供下列标准特征:4K字节的程序存储器,128字节的RAM,32条I/O线,2个16位定时器/计数器, 一个5中断源两个优先级的中断结构,一个双工的串行口, 片上震荡器和时钟电路。

引脚说明:

?VCC:电源电压

?GND:地

?P0口:P0口是一组8位漏极开路型双向I/O口,作为输出口用时,每个引脚能驱动8个TTL逻辑门电路。当对0端口写入1时,可以作为高阻抗输入端使用。

当P0口访问外部程序存储器或数据存储器时,它还可设定成地址数据总线复用的形式。在这种模式下,P0口具有内部上拉电阻。

在EPROM编程时,P0口接收指令字节,同时输出指令字节在程序校验时。程序校验时需要外接上拉电阻。

?P1口:P1口是一带有内部上拉电阻的8位双向I/O口。P1口的输出缓冲能接受或输出4个TTL逻辑门电路。当对P1口写1时,它们被内部的上拉电阻拉升为高电平,此时可以作为输入端使用。当作为输入端使用时,P1口因为内部存在上拉电阻,所以当外部被拉低时会输出一个低电流(IIL)。

?P2口:P2是一带有内部上拉电阻的8位双向的I/O端口。P2口的输出缓冲能驱动4个TTL 逻辑门电路。当向P2口写1时,通过内部上拉电阻把端口拉到高电平,此时可以用作输入口。作为输入口,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出电流(IIL)。

P2口在访问外部程序存储器或16位地址的外部数据存储器(例如MOVX @DPTR)时,P2口送出高8位地址数据。在这种情况下,P2口使用强大的内部上拉电阻功能当输出1时。当利用8位地址线访问外部数据存储器时(例MOVX @R1),P2口输出特殊功能寄存器的内容。

当EPROM编程或校验时,P2口同时接收高8位地址和一些控制信号。

?P3口:P3是一带有内部上拉电阻的8位双向的I/O端口。P3口的输出缓冲能驱动4个TTL 逻辑门电路。当向P3口写1时,通过内部上拉电阻把端口拉到高电平,此时可以用作输入口。作为输入口,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出电流(IIL)。

P3口同时具有AT89C51的多种特殊功能,具体如表3-1所示:

表3-1 P3口的第二功能

?RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期的高电平将使单片机复位。

?ALE/ :当访问外部存储器时,地址锁存允许是一输出脉冲,用以锁存地址的低8位字节。当在Flash编程时还可以作为编程脉冲输出()。

一般情况下,ALE是以晶振频率的1/6输出,可以用作外部时钟或定时目的。但也要注意,每当访问外部数据存储器时将跳过一个ALE脉冲。

?:程序存储允许时外部程序存储器的读选通信号。当AT89C52执行外部程序存储器的指令时,每个机器周期两次有效,除了当访问外部数据存储器时,将跳过两个信号。

? /VPP:外部访问允许。为了使单片机能够有效的传送外部数据存储器从0000H到FFFH 单元的指令,必须同GND相连接。需要主要的是,如果加密位1被编程,复位时EA端会自动内部锁存。

当执行内部编程指令时,应该接到VCC端。

?XTAL1:振荡器反相放大器以及内部时钟电路的输入端。

?XTAL2:振荡器反相放大器的输出端。

·3.3信号处理电路

放大整形系统包括信号放大、信号整形。它将正弦输入信号整形成同频率方波,幅值过小的被测信号经过放大后能够较好的测量,避免了波形失真。运算放大器采用1485构成,同相输入的运算放大器的放大倍数为(R3+R2)/R2,改变R3的大小可以改变放大倍数。而本例中R3=2K,R2=1K,则放大倍数为3倍,整形电路采用555,利用施密特触发器将边缘缓慢变化的周期性信号如正弦波,三角波或任意形状的模拟信号变换成同频率的矩形脉冲。施密特触发器采用电位触发方式,其状态由输入信号电位维持,能够把变化缓慢的输入信号整形成边沿陡峭的矩形脉冲。门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压,在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压。正向阈值电压与负向阈值电压之差称为回差电压。普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线则是滞回的。施密特触发器最重要的特点是能够把变化缓慢的输入信号整形成边沿陡峭的矩形脉冲,输入的信号可用施密特触发器整形后,获得较理想的矩形脉冲。同时,施密特触发器还可利用其回差电压来提高电路的抗干扰能力数字系统中。如果阈值输入端的电压小于VCC /3,则使输出端高电平。如果阈值输入端TH 的电压大于2VCC/3,使输出为低电平。利用施密特触发器的滞回特性,可以将不规则信号的波形整理为同频率方波信号。例如输入为波形为三角波,则通过555构成的整形电路就变为方波。信号放大整形部分电路具体实现电路原理图和参数如下图3-3所示:

图3-3 放大整形电路

输入信号为频率2011HZ的正弦信号,经过放大整形后得到的仿真图如图3-4:

图3-4 放大整形后波形

图中黄色的线表示放大后的正弦波波形

蓝色的线表示初始输入的正弦波波形

红色的先表示整形后得到的方波波形

·3.4时基信号产生电路

时钟是一切微处理器、微控制器内部电路工作的基础。单片机内部有一个自激振荡电路,它是定时控制部件中的一部分,可以通过内部自激振荡或外部提供振荡源这两种方式,驱动内部时钟电路产生系统时钟信号。

内部方式:在XTAL1、XTAL2跨接定时元件和两个电容就构成了自激振荡器。C1、C2取5-30PF,起微调和稳定作用。

晶振频率:f=1.2~12MHZ,常用频率为6、12、11.0592 MHz。

外部方式:外部振荡脉冲信号直接由XTAL2端输入,此时,XTAL1应接地,而片内振荡电路不起作用,。常用于单片机同时工作,以便同步,要求信号低于12MHz。

时钟周期:振荡器输出的时钟脉冲频率的倒数。为单片机中最小、最基本的时间单位。

状态周期:振荡信号经2分频后获得的信号周期,称S,显然,S为时钟周期的2倍。

机器周期:12个时周钟期为一个机器周期,对应计算机执行一个基本操作所需的时间。

指令周期:执行一条指令所需的时间,至少包含一个机器周期。

指令字节:指令占用存储空间的字节数,有单字节、双字节、三字节三类。

当时钟频率为12MHz和6MHz时,时钟周期分别为1/12μs和1/6μs ,机器周期分别为1μs和2μs。

这里使用12MHz晶振和两个电容就构成的自激振荡器。时基电路如图3-4:

图3-4 时基电路

·3.5 复位电路

复位方式有上电自动复位、按键手动复位两种。如图所示。在按键手动电平复位电路中,具有上电和按键双重功能。如图3-5:

图3-5 复位电路

·4软件设计:

软件设计包括程序流程图的绘制以及程序的编写

·4.1程序流程图:

程序要求:要能实现量程切换,超量程指示,准确定时。

子程序主要包括:按键中断子程序、定时中断子程序、计数中断子程序、周期中断子程序、超量程判断子程序、除法子程序、二进制转BCD子程序、显示程子序。

·

如图4-1:

图4-1 按键中断子程序

·

如图4-2:

图4-2 定时中断和计数子程序流程图·

如图4-3:

图4-3 周期中断子程序

4.1.4 二进制转BCD子程序流程图

如图4-4:

图4-4 二进制转BCD

·4.2 子程序设计

子程序包括定时中断子程序、二进制转BCD子程序、显示子程序·

START:MOV DPTR,#TABLE

MOV20H,#00H;存储空间初始化

MOV21H,#00H

MOV22H,#00H

MOV23H,#00H

MOV24H,#00H

MOV25H,#00H

MOV26H,#00H

MOV TMOD,#51H;定时器0工作在定时方式,定时器1工作在计数方式

MOV TH0,#3CH

MOV TL0,#0BH

MOV TH1,#00H;计数初值清零

MOV TL1,#00H

MOV IE,#8AH;开放T0、T1中断

WAIT:JB P3.7,$

LCALL DELAY

JB P3.7,$;等待按键为低电平,开始计数

SETB TR0

SETB TR1

W1:LCALL DISP;显示计数值

JNB P3.7,W1

LJMP START

INT_T0:MOV TH0,#3CH;设置计时初值为50ms

MOV TL0,#0BH

INC30H

MOV A,30H

CJNE A,#20,RETUNE;是否计满1秒

MOV30H,#00H

CLR TR0

CLR TR1

MOV21H,TL1;存放计数值

LCALL BCD;将十六进制数转换为十进制数·

BCD:MOV R2,20H

MOV R3,21H

CLR A

MOV R4,A

MOV R5,A

MOV R6,A

MOV R7,#10H

LOOP1:CLR C

MOV A,R3

RLC A

MOV R3,A

MOV A,R2

RLC A

MOV R2,A

MOV A,R6

ADDC A,R6

DA A

MOV R6,A

MOV A,R5

ADDC A,R5

DA A

MOV A,R4 ADDC A,R4

DA A

MOV R4,A DJNZ R7,LOOP1 MOV R0,#26H MOV A,R6 ANL A,#0FH MOV@R0,A DEC R0 MOV A,R6 SWAP A

ANL A,#0FH MOV@R0,A DEC R0 MOV A,R5 ANL A,#0FH MOV@R0,A DEC R0 MOV A,R5 SWAP A

ANL A,#0FH MOV@R0,A

MOV A,R4

ANL A,#0FH

MOV@R0,A

RET

·

DISP:MOV P2,0FFH;显示子程序CLR P2.0

MOV A,26H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.0

CLR P2.1

MOV A,25H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.1

CLR P2.2

MOV A,24H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.2

CLR P2.3

MOV A,23H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.3

CLR P2.4

MOV A,22H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.4

CLR P2.5

MOV A,21H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

RET

DELAY:MOV R6,#10;延时显示DELAY1:MOV R7,#10

DJNZ R7,$

DJNZ R6,DELAY1

RET

TABLE: D B 3FH,06H,5BH,4FH,66H

DB6DH,7DH,07H,7FH,6FH

END

·4.3设计程序源代码

ORG0000H

SJMP START

ORG000BH

LJMP INT_T0

START:MOV DPTR,#TABLE

MOV20H,#00H;存储空间初始化

MOV21H,#00H

MOV22H,#00H

MOV23H,#00H

MOV24H,#00H

MOV25H,#00H

MOV26H,#00H

MOV30H,#00H

MOV TMOD,#51H;定时器0工作在定时方式,定时器1工作在计数方式

MOV TH0,#3CH

MOV TL0,#0BH

MOV TH1,#00H;计数初值清零

MOV TL1,#00H

MOV IE,#8AH;开放T0、T1中断

WAIT:JB P3.7,$

LCALL DELAY

JB P3.7,$;等待按键为低电平,开始计数

SETB TR0

SETB TR1

W1:LCALL DISP;显示计数值

JNB P3.7,W1

LJMP START

INT_T0:MOV TH0,#3CH;设置计时初值为50ms

MOV TL0,#0BH

INC30H

MOV A,30H

CJNE A,#20,RETUNE;是否计满1秒

MOV30H,#00H

CLR TR0

CLR TR1

MOV21H,TL1;存放计数值

MOV20H,TH1

LCALL BCD;将十六进制数转换为十进制数

RETUNE:RETI

;************************

;本段程序将20H/21H中的16进制数转成10进制并且把5位数依次存入22H至26H BCD:MOV R2,20H

MOV R3,21H

CLR A

MOV R4,A

MOV R5,A

MOV R6,A

MOV R7,#10H LOOP1:CLR C

MOV A,R3

RLC A

MOV R3,A

MOV A,R2

RLC A

MOV R2,A

MOV A,R6

ADDC A,R6

DA A

MOV R6,A

MOV A,R5

ADDC A,R5

DA A

MOV R5,A

MOV A,R4

ADDC A,R4

DA A

MOV R4,A

DJNZ R7,LOOP1

MOV R0,#26H

MOV A,R6

ANL A,#0FH

MOV@R0,A

DEC R0

MOV A,R6

SWAP A

ANL A,#0FH

MOV@R0,A

DEC R0

MOV A,R5

ANL A,#0FH

MOV@R0,A

DEC R0

MOV A,R5

SWAP A

ANL A,#0FH

MOV@R0,A

DEC R0

MOV A,R4

ANL A,#0FH

MOV@R0,A

RET

;***************************

DISP:MOV P2,0FFH;显示子程序CLR P2.0

MOV A,26H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.0

CLR P2.1

MOV A,25H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.1

CLR P2.2

MOV A,24H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.2

CLR P2.3

MOV A,23H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.3

CLR P2.4

MOV A,22H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

SETB P2.4

CLR P2.5

MOV A,21H

MOVC A,@A+DPTR

MOV P0,A

LCALL DELAY

RET

DELAY:MOV R6,#10;延时显示

DELAY1:MOV R7,#10

DJNZ R7,$

DJNZ R6,DELAY1

RET

TABLE: D B 3FH,06H,5BH,4FH,66H

DB6DH,7DH,07H,7FH,6FH

END

·5结束语

通过本次课程的设计,不但加深我对在课程上所学到的单片机理论知识的认识和理解,重新让自己认识到了这门学科的在应用方面的广阔前景,并且通过知识应用于实践,更加丰富了自己的知识积累,扩展了知识面。不但掌握了本专业的相关知识,而且对其他专业的知

识也有所了解,而且较系统的掌握单片机应用系统的开发过程,自身的综合素质有了全面的提高。

参考文献

[1]李全利,单片机原理及应用技术。北京:高等教育出版社,2004

[2]王曙霞,单片机实验与实训指导。西安:西安电子科技大学出版社,2007

[3]周航慈,智能仪器原理与设计。北京:北京航空航天大学出版社,2005

[4]毛谦敏,单片机原理及其应用。北京:国防工业出版社,2010

[5]张毅刚,MCS-51单片机应用设计。哈尔滨:哈尔滨工业大学出版社,1997

[6]李华,MCS-51系列单片机实用接口技术。北京:航空航天出版社,2000

[7]康华光,电子技术基础,北京:高等教育出版社,2006

[8]胡翔骏,电路分析。北京:高等教育出版社,2007

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

基于QUARTUS的EDA课程设计数字频率计的仿真

成绩评定表

课程设计任务书

目录 1.设计要求 (2) 2、设计目的 (2) 3.总体设计思路及解决方案 (2) 3.1相关知识 (2) 3.3、设计思路及解决方案 (5) 4.分层次方案设计及代码描述 (5) 4.1.底层程序源码 (6) 4.2顶层程序源码 (13) 5.各模块的时序仿真结果 (16) 6.设计心得 (19)

数字频率计课程设计 1.设计要求 设计一个四位十进制的数字频率计。要求具有以下功能: (1)测量围:1HZ~10HZ。 (2) 测量误差≤1/ (3)响应时间≤15s。 (4)显示时间不小于1s。 (5)具有记忆显示的功能。即在测量过程中不刷新数据。等数据过 程结束后才显示测量结果。给出待测信号的频率值。并保存到 下一次测量结束。 (6)包括时基产生与测评时序控制电路模块。以及待测信号脉冲计 数电路模块和锁存与译码显示控制电路。 2、设计目的 通过综合性课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的,进一步理解EDA的学习目的,提高实际应用水平。 本次设计的数字频率计具有精度高、使用方便、测量迅速、便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字频率计主要包括时基产生与测评时序控制电路模块、待测信号脉冲计数电路、译码显示与锁存控制电路模块。 3.总体设计思路及解决方案 3.1相关知识 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输

基于Multisim的数字频率计电路的设计与仿真

摘要 本论文主要介绍应用Multisim2001软件进行数字频率计的设计与仿真。 数字频率计是用数字显示被测信号频率的仪器,广泛应用于机械振动的频率、转速、声音的频率以及产品的计件等等。 Multisim操作简单方便,易于学习和掌握。应用Multisim2001软件可以进行电子电路的设计与仿真。本论文通过数字频率计的设计与仿真反映了应用Multisim2001软件进行电子电路的设计与仿真提高了电子电路设计的效率,节省了设计者的时间、设备。 关键词:数字频率计 Multisim 设计与仿真

目录 前言 第一章 Multisim2001软件简单介绍 1.1 Multisim2001简介 1.2 Multisim2001的用户界面 1.2.1 菜单栏 1.2.2 工具栏 1.2.3 Multisim2001对元器件的管理 1.3 在Multisim2001软件上绘制仿真电路 1.3.1 绘制仿真电路的过程 1.3.2 在Multisim2001软件上创建电路图 第二章课题设计 2.1 主要技术要求 2.2 设计方案图 2.3 电路简述 2.4单元电路的设计与仿真 致谢 参考文献 附件:附录图1 在Mutilsim中设计的总电路图 附录图2 被侧信号100Hz时的仿真结果图 附录图3 被侧信号45Hz时的仿真结果图

前言 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。 电子计算机的飞速发展有效地解决了这个问题。Multisim软件的良好信誉以及Multisim的卓越表现使之很快成为众多EDA用户的首选软件。Multisim操作简单方便,易于学习和掌握。并且能弥补设备种类和数量不足,充分扩展学生的思维空间,给他们更大的自由发挥的天地。使学生可以根据不同需要无限制地进行各种电路分析实验,验证实验,常规实验,设计实验。充分调动学生学习的主观能动性,培养创新能力。

基于51单片机的数字频率计_毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

基于单片机的数字频率计的设计与制作

摘要 在电子技术领域中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本文设计的测量频率计由硬件电路和软件设计两部分组成。硬件电路以AT89S52单片机最小系统为核心,实现整个电路的测试信号控制、数据运算等功能,选用74LS160作为分频电路,并通过LCD显示模块显示测量的数据。软件设计包括:单片机定时计数程序、LCD显示程序等。该数字频率计可以对输入信号幅度为5V的正弦波信号、方波信号、三角波信号进行测量,测量的频率范围为1Hz--10MHz。测量的相对误差为 1%。本系统具有结构紧凑、体积小、可靠性高、测频范围宽、使用方便等优点。 关键字:数字频率计;信号;单片机

Abstract In the electronics field, the frequency is one of the most basic parameters, and is very closely related to many electrical parameters measurement program, measurement results, so the measurement of frequency becomes even more important. The measurement of frequency designed in this text consist of two parts: the hardware and software design .the hardware circuitry take AT89S52 microcomputer as the core, to achieve the functions of controlling of the entire circuit of the test signals, data operations and choose 74LS160 as a frequency divider circuits, and through LCD display module shows measured data. Software design includes: MCU timer counting procedures, LCD display procedures and so on. The digital frequency meter can measure amplitude sine wave signal, square wave, triangle wave signals of which input signal is 5v, the frequency measured ranges from 1Hz to10MHz. The relative measurement error is 1%. This system has the advantage of compact structure , small size, high reliability, test frequency range, and easy use. Keyword:Figure frequency meter;Signal;Single-chip 目录

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

简易数字频率计的设计与仿真

《电子仿真技术》实训报告题目简易数字频率计的设计、仿真 所在学院电子信息工程学院 专业班级*** 学生姓名*** 学号*** 指导教师*** 完成日期* 年* 月* 日

一.设计思路 (1)电路简述 所谓频率,就是周期性信号在单位时间(1s) 变化的次数.若在一定时间间隔T测得这个周期性信号的重复变化次数为N,则其频率可表示为fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1S )信号发生周期变化的次数。如果我们能在给定的1S 时间对信号波形计数,数值保持及自动清零,并将计数结果在显示器上显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T 的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,

测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键。 (2)任务目标 利用multisim9.0软件设计一个简易数字频率计,其基本要: 1. 被测信号的频率围1KHZ~100MHZ(理想频率围); 2. 被测信号可以为正弦波、三角波或方波信号; 3. 四位数码管显示所测频率,并用发光二极管表示单位。 二、设计电路原理框图 设计方案框图如图所示: 如图所示此频率计的主体电路由时基电路、整形电路、锁存器电路和计数显示电路组成。它的工作过程是由时基电路产生一标准时间信号控制阀门,调节时基电路中的电阻可产生需要的标准时间信号。信号输入整形电路中,经过整形,输出一方波,通过阀门后,计时器对其计数。当计数完毕,时基电路输出一个上升

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

毕业设计数字频率计的设计论文

数字频率计的设计 摘要:本论文是一种直接用十进制数字来显示被测信号频率的测量装置。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。该频率计是首先将被测信号变成脉冲信号,其重复频率等于被测频率。时钟电路提供标准的时间脉冲信号。闸门电路由标准秒信号进行控制,当闸门信号为高电平时,闸门开通,被测信号的脉冲通过闸门送入计数显示电路进行显示;当闸门信号为低电平时,闸门关断,计数器没有时钟脉冲输出,计数器停止计数。 关键词:频率显示闸门秒信号 引言 随着无线电技术的发展与普及,“频率”已成为广大群众所熟悉的物理量。调节收音机上的频率刻度盘可以使我们选听到自己所喜欢的电台节目;调节电视机上的微调旋钮可使电视机对准电视台的广播频率,获得图像清晰的收看效果,这些已成为人们的生活常识。 人们在日常生活、工作中更离不开计时。学校何时上、下课?工厂几时上、下班等这些都涉及到计时。频率、时间的应用,在当代高科技中显得尤为重要。例如,邮电通讯,大地测量,地震预报等等,都与频率、时间密切相关,只是其精密度和准确度比人们日常生活中的要求高得多罢了。 本次设计主要采用计数法制成一个测量范围在0~9999Hz的频率计。该频率计闸门信号的采样时间为1s,并采用4位数码管显示。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。 一、数字频率计的组成 数字频率计电路主要由串联型稳压电源、整形电路、10分频电路、时钟电路、闸门形成及控制电路、计数显示电路等组成。

电路组成框图1-1如下: 待测信号整形电路10分频电路闸门形成及控制电路 串联型稳压电源时钟电路计数显示电路 电路组成框图1-1 二、设计所用集成电路简介 1.集成电路NE555概述 NE555是一种集模拟、数字于一体的中规模集成电路,它常应用于信号的产生与变化、电路的检测与控制。芯片采用双列直插式封装,有八个管脚。NE555引脚图2-1和功能如下 图2-1 引出端功能符号: TR: 置位控置制端,也称电平触发端 RD: 复位端,低电平有效 Q: 电路的输出端 CO: 电压控制端 TH: 复位控制端 DIS: 放电端 Vcc: 电源端 GND: 接地脚 2.集成电路CD4518概述 集成电路CD4518是一个双BCD码加法计数器。它有两个时钟输

数字频率计的设计与实现

目录 1. 引言 (1) 2.设计任务书 (1) 3. 数字频率计基本原理 (1) 3.1 设计思路 (1) 3.2 原理框图 (2) 4. 设计步骤及实现方法 (2) 4.1 信号拾取与整形 (2) 4.2 计数电路 (3) 4.3 锁存电路 (5) 4.4 译码显示电路 (6) 4.5 时钟电路及波形设计 (7) 5 总体电路图及工作原理 (10) 6 元器件的检测与电路调试缺点分析 (12) 7 心得体会 (12) 参考文献 (13)

1. 引言 数字频率计是一种基础测量仪器,在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。本设计项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计与调试的方法和步骤。

2.设计任务书 1、设计题目:数字频率计 2、设计出一个数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示方式:4位十进制数显示。 ( 5 )电源: 220V 、 50Hz 。 3、给定仪器设备及元器件 示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。 4.电路原理要求简单,便于制作调试,元件成本低廉易购。

3. 数字频率计基本原理 3.1 设计思路 (1)利用光电开关管做电机转速的信号拾取元件,在电机的转轴上安装一圆盘,在圆盘上挖一小洞,小洞上下分别对应着光发射和光接受开关,圆盘转动一圈既光电管导通一次,利用此信号做为脉冲计数所需。 (2)计数脉冲通过计数电路进行有效的计数,按照设计要求每一秒种都必须对计数器清零一次,因为电路实行秒更新,所以计数器到译码电路之间有锁存电路,在计数器进行计数的过程中对上一次的数据进行锁存显示,这样做不仅解决了数码显示的逻辑混乱,而且避免了数码显示的闪烁问题。 (3)对于脉冲记数,有测周和测频的方式。测周电路的测量精度主要受电路系统的脉冲产生电路的影响,对于低频率信号,其精度较高。测频电路其对于正负一的信号差比较敏感,对于低频率信号的测量误差较大,但是本电路仍然采用测频方式,原因是本电路对于马达电机转速精度要求较低,本电路还有升级为频率计使用,而测频方式对高频的精度还是很高的。 时钟实现方法很多,本电路采用晶振电路,已求得高精度的时钟需求。3.2 原理框图 图3-1 系统框图

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

简易数字式频率计仿真设计

简易数字频率计仿真设计报告 班级学号姓名平时成绩答辩成绩报告成绩总分122039304 杨现涛30 122039310 郭慧泽30

目录 一、设计要求 (2) 二、设计过程 (2) 三、元器件清单 (3) 四、电路连线图 (4) 放大整形电路图 (4) 单脉冲发生器电路图 (4) 闸门电路电路图 (5) 计数部分电路图 (5) 译码显示电路图 (6) 整体电路图 (7) 五、实验(仿真结果) (8) 六、出现的问题及解决方法 (8)

一)设计要求 1)设计一个单脉冲发生器,其脉冲宽度t与手动按钮时间长短无关,与两次按钮的时间间隔无关,仅与时钟脉冲频率有关,且有下列关系: t=1/f1 2)设计一个四位十进制计数器,实现0000-9999计数。 3)将上述两种电路图组成一个简易数字式频率计。实现如图效果: 0-1 1清零信号1清 11111清零清零信号 二、设计过程 根据实验要求,要完成数字式频率计的设计任务就要了解其中包含的电路以及用到的知识及元器件。 首先经过查阅资料了解数字是频率计的原理和工作过程,下面简单介绍一下数字是频率计。数字式频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。

接地 3 双刀开关 1 导线若干 四、电路连线图 1、放大整形电路 该电路采用的是555多谐振荡器,并连接了电容,主要作用是整形波形,使进来的各种波形整形成标准的方波,以便计数器计数,具体图形如下图: 2、单脉冲发生器电路图 该部分电路图主要是采用了两片74LS74D系列的D触发器,将其两侧串联起来,从一个CP端输入f1=1hz的基准信号,另一CP端接0-1按钮,按下按钮,输出两种信号,一种为宽度为1s的单脉冲信号,用于开启闸门,另一种为清零信号,使计数器清零,具体电路图如下:

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

数字频率计设计与仿真

数字频率计设计与仿真 1 引言 在现代电子技术中,频率是基本的参数之一,并与许多电子参量的测量方案和测量结果有密切的关系。因此我们对于频率的认识显得就更为重要。频率的测量方法有很多,其中数字频率计具有测量精度高、使用方便和测量迅速等优势,是目前测量频率的主要手段。 Multisim 是以Windows 为基础的一种仿真工具,适合用于数字电路或者模拟电路的设计工作。它有直观的捕捉和强大的仿真功能,能够轻松,快速,高效对电路图进行设计和验证。 图1-1 频率计方框图 数字频率计是一种最基本的测量仪器,是通信设备、计算机应用、音频视频设备等等科研生产领域里不测或缺的测量设备之一,是一种用十进制数字显示被测信号的频率的数字的测量仪器,迄今为止已经有几十年的发展历史,频率计的基本功能是用来测量三角波信号、正弦波信号及方波信号等单位时间内变化的物理量。因而其实际运用范围是很广泛的。在早期,人们对于数字频率计的研究主要表现在扩大测量范围和提高精确度,而这些技术现在已日却成熟,现在人们对数字频率计又提出很多新的要求,例如价格低,操作方便,高精度,高稳定度甚至还包括数据处理和分析功能。较老的频率计是 输 主门 十进制计数器 显示器 主门触发器 十进制计数器 时基振荡器 输入放 大器

多芯片同步十进制技术,新型频率计要求芯片的数量要少,这样器件越少的话对于频率计的技术就会更准确,误差也会越小。一个基本的频率计的方框图如图1-1所示。 而本课题涉及的主要内容是对输入信号的整形,闸门电路控制输入信号,以及对脉冲的计数,锁存和译码,通过该项设计可以将数字电路和模拟电路的理论知识运用到实际的设计中去,具有方便快捷,容易测量等特点。 2 选择测量方式 信号频率指的是信号在单位时间内周期信号变化的次数,其表达式可写为f=N/T ,其中f 指被测信号的频率,N 为信号所累计的脉冲的个数,T 是产生N 个脉冲所需要的时间参数。该表达式其所记录的结果就是被测信号的频率。如在1s 的时间内记录了100个脉冲,则该被测信号的频率就是100HZ 。 对于频率的测量方法大体可以分为两种:一种是直接测频法,就是在一定的测量时间内测量被测信号的脉冲个数,因此又可称为计数法。该方法是将被测信号经过脉冲形成电路以后加到闸门电路的一个输入端,只有在闸门被开通的T 秒时间内,被测信号的脉冲才被送到十进制计数器里进行计数。 如果在闸门打开的时间为T ,计数器在T 的时间内得到的计数数值为N 1,则被测信号的频率f= N 1/T ,如图2-1所示就是直接测频法的测量原理。 图 2-1 直接测频法测量原理 对于直接测频法,信号的频率越高,误差就越小;而信号的频率越低,测量误差反而越大。所以直接测频法适合用于对高频信号的测量,频率越高,测量精度也越高。 被测信号 计数值N 1 标准闸门 T

相关文档
最新文档