毕业设计之数字频率计

毕业设计之数字频率计
毕业设计之数字频率计

XXXXXXXXX学院

毕业设计

设计题目:数字频率计的设计

入学年月: XXXXXXXX

姓名: XXXXXXXX

学号: XX

专业:电子信息技术

班级:XXXXXXXX

指导教师:XXXXX

完成日期:XXXXX

目录

1.目录................................................. (2)

2.论文摘要 (3)

3.引言 (4)

4.设计目的及要求 (5)

5.设计内容、方法与步骤 (6)

5.1设计内容 (6)

5.1.1数字频率计的基本原理 (7)

5.1.2系统框图 (7)

5.2方法与步骤 (10)

6.硬件电路设计方案 (13)

6.1系统级方案设计

6.2子系统设计

7.软件设计 (15)

8.设计体会 (16)

参考文献 (17)

致谢词 (18)

论文摘要

随着电子技术的飞速发展,各类分立电子元件及其所构成的相关功能单元,已逐步被功能更强大、性能更稳定、使用更方便的集成芯片所取代。由集成芯片和一些外围电路构成的各种自动控制、自动测量、自动显示电路遍及各种电子产品和设备。数字系统和数字设备已广泛应用于各个领域,更新换代速度可谓日新月异。在电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。供消费用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急救系统等在设计过程中无一不用到数字技术。数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。数字频率计是现代通信测量设备系统中不可缺少的测量仪器,不但要求电路产生频率准确的和稳定度高的信号,而且能方便的改变频率。

引言

数字频率计主要实现方法有直接式、锁相式、直接数字式和混合式四种。直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。锁相式的优点是相位同步的自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。直接数字式的优点是电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。随着单片锁相式数字频率计的发展,锁相式和数字式容易实现系列化、小型化、模块化和工程化,性能也越来越好,已逐步成为两种最为典型,用处最为广泛的数字频率计。

设计目的及要求

一、设计目的

本设计与制作项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。

二、设计要求

设计并制作出一种数字频率计,其技术指标如下:

(1)频率测量范围:10 ~9999Hz 。

(2)输入电压幅度>300mV 。

(3)输入信号波形:任意周期信号。

(4)显示位数:4 位。

(5)电源:220V 、50Hz

三、所需仪器仪表

示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。

设计内容、方法与步骤

1、设计内容

1)数字频率计的基本原理

数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1S )内信号发生周期变化的次数。如果我们能在给定的1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。

2)系统框图

从数字频率计的基本原理出发,根据设计要求,得到如图所示的电路框图。

下面介绍框图中各部分的功能及实现方法

(1)电源与整流稳压电路

框图中的电源采用50Hz 的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。

(2)全波整流与波形整形电路

本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz ,即在1 %的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz 交流市电进行全波整流,得到如图1所示100Hz

图1 数字频率计框图

的全波整流波形。波形整形电路对100Hz 信号进行整形,使之成为如图2所示100Hz 的矩形波。

图2 全波整流与波形整形电路的输出波形

波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

(3)分频器

分频器的作用是为了获得1S 的标准时间。电路首先对图1所示的100Hz 信号进行100 分频得到如图2(a )所示周期为1S 的脉冲信号。然后再进行二分频得到如图8.5 ( b )所示占空比为50 %脉冲宽度为1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在1S 时间内通过控制门的被测脉冲的数目。

分频器可以采用第 5 章介绍过的方法,由计数器通过计数获得。二分频可以采用触发器来实现。

(4)信号放大、波形整形电路

为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,

图3 分频器的输出波形

使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路,波形整形可以采用施密特触发器。

(5)控制门

控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,一个输入端接被测脉冲。控制门可以用与门或或门来实现。当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时进行计数。

(6)计数器

计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为9999Hz ,应采用4 位十进制计数器。可以选用现成的10 进制集成计数器。

(7)锁存器

在确定的时间(1S )内计数器的计数结果(被测信号频率)必须经锁定后才能获得稳定的显示值。锁存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的8 位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。

(8)显示译码器与数码管

显示译码器的作用是把用BCD 码表示的10 进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。

选用显示译码器时其输出方式必须与数码管匹配。

3)实际电路

根据系统框图,设计出的电路如图4 所示。图中,稳压电源采用7805 来实现,电路简单可靠,电源的稳定度与波纹系数均能达到要求.

对100Hz 全波整流输出信号的分频采用7 位二进制计数器74HC4024 组成100 进制计数器来实现。计数脉冲下降沿有效。在74HC4024 的Q7 、Q6 、Q3 端通过与门加入反馈清零信号,当计数器输出为二进制数1100100 (十进制数为100 )时,计数器异步清零。

实现100 进制计数。为了获得稳定的分频输出,清零信号与输入脉冲“与”后再清零,使分频输出脉冲在计数脉冲为低电平时保持一段时间(10mS )为高电平。

图4 数字频率计电路图

电路中采用双JK 触发器74HC109 中的一个触发器组成触发器,

它将分频输出脉冲整形为脉宽为1S 、周期为2S 的方波。从触发器Q 端

输出的信号加至控制门,确保计数器只在1S 的时间内计数。从触发器端输出的信号作为数据寄存器的锁存信号。

被测信号通过741 组成的运算放大器放大20 倍后送施密特触发器整形,得到能被计数器有效识别的矩形波输出,通过由74HC11 组成的控制门送计数器计数。为了防止输入信号太强损坏集成运放,可以在运放的输入端并接两个保护二极管。

频率计数器由两块双十进制计数器74HC4511 组成,最大计数值为9999Hz 。由于计数器受控制门控制,每次计数只在JK 触发器Q 端为高

电平时进行。当JK 触发器Q 端跳变至低电平时,端的由低电平向高电平跳变,此时,8D 锁存器74HC374 (上升沿有效)将计数器的输出数据锁存起来送显示译码器。计数结果被锁存以后,即可对计数器清零。由于

74HC4518 为异步高电平清零,所以将JK 触发器的同100Hz 脉冲信号“与”后的输出信号作为计数器的清零脉冲。由此保证清零是在数据被有效锁存一段时间(10mS )以后再进行。

显示译码器采用与共阴数码管匹配的CMOS 电路74HC4511 ,4 个数码管采用共阴方式,以显示4 位频率数字,满足测量最高频率为9999Hz 的要求。

2 方法与步骤

1) 器件检测

用数字集成电路检测仪对所要用的IC 进行检测,以确定每个器件完好。如有兴趣,也可对LED 数码管进行检测,检测方法由自己确定。

2) 电路连接

在自制电路板上将IC 插座及各种器件焊接好;装配时,先焊接IC 等小器件,最后固定并焊接变压器等大器件。电路连接完毕后,先不插IC 。

3) 电源测试

将与变压器连接的电源插头插入220V 电源,用万用表检测稳压电源的输出电压。输出电压的正常值应为+5V 。如果输出电压不对,应仔细检查相关电路,消除故障。稳压电源输出正常后,接着用示波器检测产生基

准时间的全波整流电路输出波形。正常情况应观测到如图13.5(a) 所示波形。

4) 基准时间检测

关闭电源后,插上全部IC 。依次用示波器检测由U1(74HC4024) 与U3A 组成的基准时间计数器与由U2A 组成的触发器的输出波形,并与

图13.6 所示波形对照。如无输出波形或波形形状不对,则应对U1 、U3,U2 各引脚的电平或信号波形进行检测,消除故障。

5) 输入检测信号

从被测信号输入端输入幅值在1V 左右频率为1KHz 左右的正弦信号,如果电路正常,数码管可以显示被测信号的频率。如果数码管没有显示,或显示值明显偏离输入信号频率,则作进一步检测。

6 )输入放大与整形电路检测

用示波器观测整形电路U1A(74HC14) 的输出波形,正常情况下,可以观测到与输入频率一致、信号幅值为5V 左右的矩形波。如观测不到输出波形,或观测到的波形形状与幅值不对,则应检测这一部分电路,消除故障。如该部分电路正常,或消除故障后频率计仍不能正常工作,则检测控制门。

7) 控制门检测

检测控制门U3C(74HC11) 输出信号波形,正常时,每间隔1S 时间,可以在荧屏上观测到被测信号的矩形波。如观测不到波形,则应检测控制门的两个输入端的信号是否正常, 并通过进一步的检测找到故障电路,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测计数器电路。

8) 计数器电路的检测

依次检测4 个计数器74HC4518 时钟端的输入波形,正常时,相邻计数器时钟端的波形频率依次相差10 倍。如频率关系不一致或波形不正常,则应对计数器和反馈门的各引脚电平与波形进行检测。正常情况各电平值或波形应与电路中给出的状态一致。通过检测与分析找出原因,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测锁存器电路。

9) 锁存电路的检测

依次检测74HC374 锁存器各引脚的电平与波形。正常情况各电平值应与电路中给出的状态一致。其中,第11 脚的电平每隔1S 钟跳变一次。如

不正常,则应检查电路,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测锁存器电路。

10) 显示译码电路与数码管显示电路的检测

检测显示译码器74HC4511 各控制端与电源端引脚的电平,同时检测数码管各段对应引脚的电平及公共端的电平。通过检测与分析找出故障。

硬件电路设计方案

多周期同步测量法的基本思路是使被测信号与闸门之间实现同步化,从而从根本上消除了在闸门时间内对被测信号进行计数时的±1量化误差,使测量精度大大提高。倒数计数器就是基于该方法而设计出来的一种具有创新思想的测频、测周期的仪器。它采用多周期同步测量法,即测量输入多个(整数个)周期值,再进行倒数运算而求得频率。其优点是:可在整个测频范围内获得同样高的测试精度和分辨率。

(一)系统级方案设计

在选择多周期同步等精度测量法的情况下,按照自顶向下的设计方法,可以画出该频率计的系统级框图,如图1所示。根据测周期、频率的原理,可以将总体框图分为三个子系统:输入通道(即前臵整形电路)该部分主要由模拟电路组成的;多周期同步等精度频率、周期的测量、控制及功能切换(中间部分),该部分基本上由数字硬件电路组成;单片机及外围电路,包括单片机、数码显示。

(二)子系统设计

1.输入通道的设计。输入通道是由前臵放大器和整形器组成的,所以要对前臵放大器的增益和带宽指标进行估计。为了能准确测量信号,将输入信号经过一个放大整形电路。其具体实施方案为:将输入信号经过LM358运放放大,再通过74LS132整形,此时的信号还不能直接送入单片机,这是因为在硬件上CPU对INT0和INT1引脚的信号不能控制,解决这个问题要通过硬件,再配合软件来解决。

2.预臵闸门时间发生电路设计。闸门时间的确定,可以先由一个555定时器产生一个脉冲信号,将555产生的脉冲信号送入到74LS90十进制计数器当中,由于74LS90具有二-五进制混合计数的功能,所以可以用它来实现五进制计数,将74LS90的输出接到3—8线译码器74LS138的输入端,再将译码器的输出端接上五个发光二极管,这样就可以实现硬件上的闸门时间控制。但是考虑到硬件实现上的复杂性,可以通过软件上来实现,就是将五个发光二极管直接接到单片机的P1口由软件上来实现,通过按键来改变它的闸门时间。

3.数码显示电路的设计。该部分电路是由单向八位移位寄存器74LS164和数码管组成的。考虑到精度的问题,取五位计数值,采用五片74LS164级联,同时还要显示频率和周期的单位,所以还需再级联一块74LS164,在74LS164的输出端接六个单位指示灯,分别表示周期频率的三个不同的单位数量级,

即周期单位s,ms,μs和频率单位Hz,KHz及MHz。移位寄存器的时钟信号是由单片机的串行输出口TXD脚控制。

收获与体会

本次课程设计让我们体味到做一个设计的苦与甜。设计是我们将来必需的技能,这次设计恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到定具体方案到对电路的设计再到最后电路的成型与设计书的录入与打印,都对我所学的知识进行了检验。在此过程中发现了以前学的数字电路的知识掌握的不牢。同时在设计的过程中,遇到了一些以前没有见到过的元件,但是通过查找资料来学习这些元件的功能和使用。设计过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎。

因为是第一次做课程设计,在这个过程中遇到了很多问题,比如如何画图,如何组织那种专业语言,上网、到图书馆查找相关的资料。虽然很费劲,但是其乐无穷。通过此次的设计,我发现到这个设计对数字电子技术的学习要求非常高,我相信在今后的学习和工作中它也占据着非常重要的地位。课堂中的学习是远远不够的,我们还需要自己吸收和再学习,不断的探索和研究。这样在以后的学习工作中才能节节进步,不断创新。不但如此,我想要完成一个任务,不能只局限于自己所学的知识中,要各个方面都有涉猎,提高自己的综合能力,这样才能取得长足的进步。

此次电子技术课程设计,让我懂得了实践的重要性。即使课本知识掌握的很好,如果不会综合运用,也是一些支离破碎的无用的知识,而如果能够运用而实际动手能力很差,理论与实践结合不起来,学得再好也没用。这次课程设计恰好是将课本知识与的巩固与综合运用结合起来,再加上实际动手能力的培养三者结合起来的。一方面,它加深与巩固了所学的各章节的理论,并将其综合运用,提高了我们综合运用知识的能力;另一方面,培养了我们对专业知识学习的兴趣。

参考文献

1.秦曾煌主编. 电工学(第六版,下册).北京:高等教育出版社,2004.7.

2.高吉祥,易凡.电子技术基础实验与课程设计[M]. 第2版.电子工业出版社,2005.

3.刘宇征.电子电路设计与制作[M]. 第1版.福建科学技术出版社,2003.

4.杨旭东,刘行景,杨兴瑶.实用电子电路精选[M]. 第1版.化学工业出版

社,2000.

5.汤山俊夫.数字电路设计与制作[M].第一版.北京:科学技术出版社,2003.

6.陈汝全.电子技术常用器件应用手册.第2版.机械工业出版社.2006.

7.梁宗善.新集成电路的应用.第一版.华中理工大学出版社.2006.

致谢词

本课程设计的完成首先要感谢XXXX老师的指导,是她的讲解和指导才使我完成了这份报告;其次要感谢和我同组的同学,因为我们经常在一起讨论,是大家共同的想法组成了这份报告;最后,要感谢批阅这份报告的老师,因为本人能力有限,本报告可能存在疏漏,希望老师能给予建议。

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

基于51单片机的数字频率计_毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

基于单片机的数字频率计的设计与制作

摘要 在电子技术领域中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本文设计的测量频率计由硬件电路和软件设计两部分组成。硬件电路以AT89S52单片机最小系统为核心,实现整个电路的测试信号控制、数据运算等功能,选用74LS160作为分频电路,并通过LCD显示模块显示测量的数据。软件设计包括:单片机定时计数程序、LCD显示程序等。该数字频率计可以对输入信号幅度为5V的正弦波信号、方波信号、三角波信号进行测量,测量的频率范围为1Hz--10MHz。测量的相对误差为 1%。本系统具有结构紧凑、体积小、可靠性高、测频范围宽、使用方便等优点。 关键字:数字频率计;信号;单片机

Abstract In the electronics field, the frequency is one of the most basic parameters, and is very closely related to many electrical parameters measurement program, measurement results, so the measurement of frequency becomes even more important. The measurement of frequency designed in this text consist of two parts: the hardware and software design .the hardware circuitry take AT89S52 microcomputer as the core, to achieve the functions of controlling of the entire circuit of the test signals, data operations and choose 74LS160 as a frequency divider circuits, and through LCD display module shows measured data. Software design includes: MCU timer counting procedures, LCD display procedures and so on. The digital frequency meter can measure amplitude sine wave signal, square wave, triangle wave signals of which input signal is 5v, the frequency measured ranges from 1Hz to10MHz. The relative measurement error is 1%. This system has the advantage of compact structure , small size, high reliability, test frequency range, and easy use. Keyword:Figure frequency meter;Signal;Single-chip 目录

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

课程设计报告(频率计)

设计题目:数字频率计的设计与制作 一、课程设计的主要内容与目的 1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号 发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。 从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。 图1 2. 设计目的:(1)掌握数字频率计的工作原理 (2)根据课程设计,熟悉一般产品设计的流程和方法。 (3)重点掌握数字频率计设计的计数部分。 二、主要技术指标 1.频率测量范围:10~9999HZ。 2.输入信号波形:任意周期信号,输入电压幅度>300mv. 3.电源:220V,50HZ。 系统框图中各部分的功能及实现方法 (1)电源与整流稳压电路 框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2)全波整流与波形整形电路 本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

毕业设计数字频率计的设计论文

数字频率计的设计 摘要:本论文是一种直接用十进制数字来显示被测信号频率的测量装置。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。该频率计是首先将被测信号变成脉冲信号,其重复频率等于被测频率。时钟电路提供标准的时间脉冲信号。闸门电路由标准秒信号进行控制,当闸门信号为高电平时,闸门开通,被测信号的脉冲通过闸门送入计数显示电路进行显示;当闸门信号为低电平时,闸门关断,计数器没有时钟脉冲输出,计数器停止计数。 关键词:频率显示闸门秒信号 引言 随着无线电技术的发展与普及,“频率”已成为广大群众所熟悉的物理量。调节收音机上的频率刻度盘可以使我们选听到自己所喜欢的电台节目;调节电视机上的微调旋钮可使电视机对准电视台的广播频率,获得图像清晰的收看效果,这些已成为人们的生活常识。 人们在日常生活、工作中更离不开计时。学校何时上、下课?工厂几时上、下班等这些都涉及到计时。频率、时间的应用,在当代高科技中显得尤为重要。例如,邮电通讯,大地测量,地震预报等等,都与频率、时间密切相关,只是其精密度和准确度比人们日常生活中的要求高得多罢了。 本次设计主要采用计数法制成一个测量范围在0~9999Hz的频率计。该频率计闸门信号的采样时间为1s,并采用4位数码管显示。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。 一、数字频率计的组成 数字频率计电路主要由串联型稳压电源、整形电路、10分频电路、时钟电路、闸门形成及控制电路、计数显示电路等组成。

电路组成框图1-1如下: 待测信号整形电路10分频电路闸门形成及控制电路 串联型稳压电源时钟电路计数显示电路 电路组成框图1-1 二、设计所用集成电路简介 1.集成电路NE555概述 NE555是一种集模拟、数字于一体的中规模集成电路,它常应用于信号的产生与变化、电路的检测与控制。芯片采用双列直插式封装,有八个管脚。NE555引脚图2-1和功能如下 图2-1 引出端功能符号: TR: 置位控置制端,也称电平触发端 RD: 复位端,低电平有效 Q: 电路的输出端 CO: 电压控制端 TH: 复位控制端 DIS: 放电端 Vcc: 电源端 GND: 接地脚 2.集成电路CD4518概述 集成电路CD4518是一个双BCD码加法计数器。它有两个时钟输

数字频率计的设计与实现

目录 1. 引言 (1) 2.设计任务书 (1) 3. 数字频率计基本原理 (1) 3.1 设计思路 (1) 3.2 原理框图 (2) 4. 设计步骤及实现方法 (2) 4.1 信号拾取与整形 (2) 4.2 计数电路 (3) 4.3 锁存电路 (5) 4.4 译码显示电路 (6) 4.5 时钟电路及波形设计 (7) 5 总体电路图及工作原理 (10) 6 元器件的检测与电路调试缺点分析 (12) 7 心得体会 (12) 参考文献 (13)

1. 引言 数字频率计是一种基础测量仪器,在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。本设计项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计与调试的方法和步骤。

2.设计任务书 1、设计题目:数字频率计 2、设计出一个数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示方式:4位十进制数显示。 ( 5 )电源: 220V 、 50Hz 。 3、给定仪器设备及元器件 示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。 4.电路原理要求简单,便于制作调试,元件成本低廉易购。

3. 数字频率计基本原理 3.1 设计思路 (1)利用光电开关管做电机转速的信号拾取元件,在电机的转轴上安装一圆盘,在圆盘上挖一小洞,小洞上下分别对应着光发射和光接受开关,圆盘转动一圈既光电管导通一次,利用此信号做为脉冲计数所需。 (2)计数脉冲通过计数电路进行有效的计数,按照设计要求每一秒种都必须对计数器清零一次,因为电路实行秒更新,所以计数器到译码电路之间有锁存电路,在计数器进行计数的过程中对上一次的数据进行锁存显示,这样做不仅解决了数码显示的逻辑混乱,而且避免了数码显示的闪烁问题。 (3)对于脉冲记数,有测周和测频的方式。测周电路的测量精度主要受电路系统的脉冲产生电路的影响,对于低频率信号,其精度较高。测频电路其对于正负一的信号差比较敏感,对于低频率信号的测量误差较大,但是本电路仍然采用测频方式,原因是本电路对于马达电机转速精度要求较低,本电路还有升级为频率计使用,而测频方式对高频的精度还是很高的。 时钟实现方法很多,本电路采用晶振电路,已求得高精度的时钟需求。3.2 原理框图 图3-1 系统框图

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

简易数字频率计课程设计

简易数字频率计课程设计 Prepared on 22 November 2020

简易频率计设计 摘要 在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计 关键字:时序控制频率,数字频率计,555电路 目录

1绪论 课题描述 频率是周期信号每秒钟内所含的周期数值。输入电路:由于输入的信号可以是正弦波,方波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。通过时基电路及控制电路锁存器将最终频率稳定的显示在数码管上[1]。 设计任务与要求 1.频率测量范围:10~9999Hz; 2.输入电压幅度>300mV; 3.输入信号波形:任意周期信号; 4.显示位数:4 位; 5.电源: 220V 、 50Hz; 6.对所设计电路进行仿真分析。 7.编写设计报告,写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 基本工作原理及框图 建议频率计电路框图如图1所示。

数字频率计设计 毕业设计

毕业设计(论文)任务书 课题名称数字频率设计课题性质毕业论文 专业楼宇智能化工程技术班级 11级学生姓名学号 113121 指导教师教研室主任系部主任 发放日期 一、课题条件: 1.分析频率计的设计方法; 2.利用现有的仿真软件进行波形仿真; 二、毕业论文(设计)主要内容: 1、测量信号:方波; 2、测量频率范围:1KHZ~9999HZ;10KHZ~100KHZ; 3、显示方式:4位十进制数显示; 4、时基电路由555定时器及分频器组成,555振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为:1秒,0.1秒; 5、当被测信号的频率超出测量范围时,报警。 三、计划进度: 1. 资料的收集撰写开题报告 7月18日至9月8日 2. 方案设计 9月9日至9月15日 3. 电路的设计指标分析与确定;后期的电路优化元器件的选择与参数确定 9月16日至11月2日 4. 毕业设计论文的修改、完善 11月3日至11月10日 5. 毕业设计答辩11月15 日至11月20日 6. 毕业设计工作总结11月20日至11月25日 四、主要参考文献: (1)电子技术基础(第三版) (2)电子产品的设计与制作工艺 (3)电子设计技术杂志 (4)现代电子学及应用1 (5)AD (6)数字电子技术基础阎石主编高等教育出版社 指导教师(系)教研室主任 年月日年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计。 关键词:逻辑控制,计数器,时基(T)电路、输入电路、计数显示电路以及控制电路。

相关文档
最新文档