D触发器的设计

D触发器的设计
D触发器的设计

目录

第一章绪论0

简介0

集成电路0

版图设计1

软件介绍1

标准单元版图设计1

标准单元版图设计的概念1

标准单元版图设计的历史1

标准单元的版图设计的优点2

标准单元的版图设计的特点2

第二章D触发器的介绍 2

简介2

维持阻塞式边沿D触发器3

电路工作过程3

状态转换图和时序图3

同步D触发器3

电路结构3

逻辑功能4

真单相时钟(TSPC)动态D触发器4

第三章工艺基于TSPC原理的D触发器设计5

电路图的设计5

创建库与视图5

基于TSPC原理的D触发器电路原理图5

创建D触发器版图6

设计步骤6

器件规格7

设计规则的验证及结果8

第四章课程设计总结9

参考文献 9

第一章绪论

简介

集成电路

集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采

用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。

版图设计

版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。

对于复杂的版图设计,一般把版图设计分成若干个子步骤进行:

(1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。

(4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。软件介绍

目前大部分IC 公司采用的是UNIX 系统,使用版本是SunSolaris。版图设计软件通常为Cadence ,它是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB 设计。软件操作界面人性化,使用方便,安全可靠,但价格较昂贵。

标准单元版图设计

标准单元版图设计的概念

标准单元,也叫宏单元。它先将电路设计中可能会遇到的所有基本逻辑单元的版图, 按照最佳设计的一定的外形尺寸要求, 精心绘制好并存入单元库中。实际设计ASIC电路时, 只需从单元库中调出所要的元件版图, 再按照一定的拼接规则拼接, 留出规则而宽度可调的布线通道, 即可顺利地完成整个版图的设计工作了。

基本逻辑单元的逻辑功能不同, 其版图面积也不可能是一样大小的。但这些单元版图的设计必须满足一个约束条件, 这就是在某一个方向上它们的尺寸必须是完全一致的, 比如说它们可以宽窄不一, 但它们的高度却必须是完全相等的,这就是所谓的“等高不等宽”原则。这一原则是标准单元设计法得以实施的根本保证。

标准单元版图设计的历史

随着集成电路产业迅猛的发展,工艺水平不断提高,集成电路特征尺寸循着摩尔定律不断缩小。设计芯片时需要考虑的因素越来越多,芯片设计的复杂程度也越来越高。因而尽可能复用一些已经通过工艺验证的IP核可以提高设计的效率,降低芯片设计的成本。

标准单元库是IP核中很基础也是很重要的一个组成部分。传统的标准单元库设计方案有一套很复杂的设计流程,不但耗时耗力,而且投入巨大,同时也会在一定程度上制约新工艺的推广。一种解决办法就是将工艺升级的相关参数通过一定的算法转换成比例因子,用该比例因子对旧工艺条件下设计成熟的标准单元库进行缩放,使工艺升级的效果体现到原来的IP核中,令其可以复用到新的工艺上,这样不但可以大幅度的提高设计效率还可以促进新工艺的推广。

标准单元的版图设计的优点

基于标准单元的设计风格是最流行的全定制设计风格中的一种,这种设计要求开发一套全定制掩膜。在这种设计中,我们把所有常用的逻辑单元都开发出来,明确其特性,并存储在一个标准单元库中。一个典型的存储库可能包含诸如反相器,与非门,或门,与或非门,或与非门,D闩锁和D触发器等几百种单元。每种们都可以通过多种方式来实现,以便于为不同扇出提供足够的驱动能力。例如,反相器可以有标准尺寸,双倍尺寸和四倍尺寸,可供芯片开发者选择合适的尺寸来实现较高的电路速度和版图密度。

标准单元的版图设计的特点

需要全套掩膜版,属于定制设计方法;

(1)门阵列方法:合适的母片,固定的单元数、压焊块数和通道间距;

(2)标准单元方法:可变的单元数、压焊块数、通道间距,布局布线的自由度增大;

(3)较高的芯片利用率和连线布通率;

(4)依赖于标准单元库,SC库建立需较长的周期和较高的成本,尤其工艺更新时。

第二章D触发器的介绍

简介

锁存器是一种基本的记忆器件,它能够储存一位元的数据。由于它是一种时序性的电路,所存器是一种基本的记忆器件,它能够储存一位元的数据。由于它是一种时序性的电路,所以触发器不同于锁存器,它是一种时钟控制的记忆器件,触发器具有一个控制输入讯号(CLOCK)。CLOCK讯号使触发器只在特定时刻才按输入讯号改变输出状态。若触发器只在时钟CLOCK由L到H (H到L) 的转换时刻才接收输入,则称这种触发器是上升沿(下降沿) 触发的。

D触发器可用来储存一位的数据。通过将若干个触发器连接在一起可储存多位元的数据,它们可用来表示时序器的状态、计数器的值、电脑记忆体中的ASCII码

或其他资料。

D触发器是最常用的触发器之一。对于上升沿触发D触发器来说,其输出Q只在CLOCK由L到H的转换时刻才会跟随输入D的状态而变化,其他时候Q则维持不变

维持阻塞式边沿D触发器

维持阻塞式边沿D触发器的逻辑图和逻辑符号如图2-3所示。该触发器由六个与非门组成,其中G1、G2构成基本RS触发器,G3、G4组成时钟控制电路,G5、G6组成数据输入电路。和分别是直接置0和直接置1端,有效电平为低电平。分析工作原理时,设和均为高电平,不影响电路的工作。

电路工作过程

电路工作过程如图2-1所示。

(a)逻辑图(b)逻辑符号

图2-1 维持阻塞型D触发器

状态转换图和时序图

维持阻塞D触发器的状态转换图如图2-2所示,图(a)为状态转换图,图(b)为时序图。

图2-2 维持阻塞D触发器的状态转换图和时序图

同步D触发器

电路结构

为了避免同步RS触发器出现R=S=1的情况,可在R和S之间接入非门G5 ,如图2-3所示。

图2-3 同步D触发器

逻辑功能

表2-3-2同步D触发器的特性表

根据特性表可得到在CP=1时的同步D触发器的驱动表。

真单相时钟(TSPC)动态D触发器

下图所示为一个用TSPC原理构成的上升沿D触发器的电路图。电路由11个晶体管构成,分为四级。当时钟信号为低电平时,第一级作为一个开启的锁存器接收输入信号,而第二级的输出节点被预充电。在此期间,第三级和第四级保持原来的输出状态。当时钟信号由低电平变换到高电平时,第一级不再开启而且第二级开始定值。同时,第三级变为开启而且将采样值传送到输出。注意,最末级(反相器)只用于获得不反相的输出电平。

图2-3基于TSPC原理构成的动态D触发器

此电路的掩模板图如图所示。nMOS 晶体管的器件尺寸的宽长比为(W/L)=350nm),pMOS晶体管的器件尺寸的宽长比为(W/L)=350nm)。版图对应的工

艺的寄生参数可通过电路的提取决定。而提取的电路文件用SPICE仿真来确定它的性能。仿真的TSPC DFF电路的输入,输出波形如图2-5所示。可见,电路可以工作在500MHz的时钟频率上。因为他们的设计相对简单,晶体管数目少喝运行速度快高,特别是在高性能设计中,对于传统CMOS电路来说基于TSPC电路时一种较好的选择。

第三章工艺基于TSPC原理的D触发器设计

电路图的设计

创建库与视图

lab1 中创建的库与视图如果仍存在,则没有必要再行创建,直接调用即可。在CIW 中选择File→open,在弹出窗口中选择如下:

Library Name:ZF

Cell Name:D

View Name:Schematic

点击OK,打开Schematic Editing 的空白窗口。以下步骤为创建库与视图的过程。

①在命令解释窗口CIW 中,依次选择File→New→Library,打开New Library窗口。

②在New Library 窗口中,Name 栏输入库文件名ZF(可以自定义),右侧工艺文件(Technology File)栏中,选择最下方的Don’t need a techfile,点击窗口左上角的OK。

③在CIW 中,选择file→new→cellview,打开Create New File 窗口。

④在Create New File 窗口中,Library Name 选取为ZF(与刚才定义一致),Cell Name 设置为D,View Name 选取为Schematic,Tool 栏选取为Composer-Schematic,点击OK,弹出Schematic Editing 的空白窗口。

基于TSPC原理的D触发器电路原理图

电路如图3-1 所示

3-1 基于TSPC原理的D触发器电路原理图

创建D触发器版图

设计步骤

①在CIW 中,选择File→Open,参数设置如下:

Library Name ZF

Cell Name D

View Name layout

点击OK,打开design 的空白窗口,以下编辑将实现 D 版图结构如图所示。

②在LSW 窗口中,选择poly drawing 作为当前编辑层。

③选择Create→Path 或按盲键[p],来绘制多晶硅栅体。

④在design 窗口中,点击LMB,从坐标原点x=0、y=0 到x=0、y=连线poly,之后双击LMB 或按Return(Enter)键,完成栅体绘制。

⑤在LSW 窗口中,选择ndiff drawing 层为当前编辑层,选择Create→Rectangle 或按盲键[r],用以绘制扩散区。

⑥在design 窗口中,选择不在同一直线的任意两点,点击LMB 形成矩形扩散区,矩形形状可在后续操作中调整。

调整ndiff 与poly path

①选择Window→Create Ruler 或按盲键[k],在设计窗口中加入Ruler,以便精确控制版图尺寸。

②按Return 键或点击LMB 完成Ruler 的添加,可选择Window→Clear All37 Rulers 或按盲键[K],删除添加的Ruler。

③选择Edit→Stretch 或按盲键[s],在设计窗口中,使用LMB 选择需要调整

的目标或目标的一部分,选择后以高亮显示,拖动鼠标至合适位置后释放,

完成目标大小的调整。

注意:调整path 时,确保只有path 的中线高亮显示,否则,有可能将path

的宽度也进行了调整。

绘制Source 与Drain

①在LSW 窗口中,选择matal1 作为当前编辑层,选择Create→Rectangle 或按盲键[r],绘制一个矩形,用以源区金属连接。

②在LSW 窗口中,选择contact dg 作为当前编辑层,选择Create→Rectangle 或按盲键[r],绘制两个正方形,作为源区接触孔。

③按照设计规则,调整contacts 与metal1 的位置。

④同时选择contacts 与metal1(选择一个目标后按Shift 键,继续选择其它目标,操作与Windows 系统相同),选择Edit→Copy 或按盲键[c],因为mos器件的对称性,可通过拷贝完成漏区的绘制。

⑤点击高亮显示的被选目标实现拷贝,在空白处点击LMB 实现粘贴。

⑥按照设计规则,利用Ruler 和Stretch 调整版图尺寸。

⑦选择Options→Display 或按盲键[e],点亮Axes,选择Edit→Move 或按盲键[m]。

⑧选择所有D 版图的组件,点击选中并放置到合适位置。

⑨完成绘制后,选择Design→Save 并关闭窗口。

版图如下

器件规格

此电路的掩膜版图(用COMS技术设计规则)如图所示,nMOS晶体管的器件尺寸宽长比为(W/L)n=,p MOS晶体管的宽长比为(W/L)p=(。版图对应工艺的寄生参数可以通过电路提取决定。

设计规则的验证及结果

设计规则的验证是版图与具体工艺的接口,因此就显得尤为重要,可以进行设计规则验证(DRC)。

在进行验证操作过程中用到的库都应位于当前运行目录或由路径指定链接到该运行目录。打开要验证单元的版图界面,点击FILE下的DRACULA DRC,弹出在菜单栏上,在DRC菜单下的SETUP中,给出错误文件的路径,即可将错误报告与Virtuoso的图形界面结合起来,根据错误层的提示,在图中直接修改即可。根据错误报告的提示,修改版图的步骤为:

(1)将错误文件导入Virtuoso界面。

(2)找到错误层,根据错误提示进行修改。

(3)更新编译规则文件,进行DRC验证,重复上述(1),(2)操作,直至版图完全通过DRC验证。

验证结果除了面积所占的版图的百分数不符合设计设计规则之外,其他设计规则全部达到设计要求。

第四章课程设计总结

此次课程设计在老师的悉心指导,同学们的热情帮助下,我已圆满完成了本次课程设计的要求。从课题选择到具体构思和内容以及数据的测试,我深刻体会到做事情不能急躁,要细心仔细的完成每个版图的设计。在这周时间所经历的学习和生活,我深刻感受到老师的精心指导和无私的关怀,让我受益匪浅。本次课程设计的名称为“基于μm工艺的带复位D触发器版图设计”,让我在绘图的时候更加巩固了对D触发器的应用及原理。

参考文献

1.李伟华. VLSI 设计基础. 北京:电子工业出版社. 28-33

2.吴尘李亮陈伟元集成电路版图设计实战教程---基于Laker工具

3.林明祥. 集成电路制造工艺北京:机械工业出版社. :2-3

4.王窦志COMS数字集成电路分析与设计电子工艺出版社

5.吴尘COMS数字集成电路---分析与设计

6.孙润.Tanner集成电路设计教程[M]北京希望电子出版社,2001

使用D触发器设计一个11001序列检测器介绍

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。 【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。 1.原件介绍 D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚

2.设计思路 根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x 第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0 要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出原始状态图。根据原始状态图可列出原始状态表。 状态转换表 A B D C E F 1\0 1\0 0\0 0\0 1\1 0\0 0\0 1\0 1\0 0\0 0\0

触发器功能的模拟实现实验报告-

武汉轻工大学数学与计算机学院 数字逻辑实验报告 学校:武汉轻工大学 院系:数学与计算机学院 班级:计算机类1304班 姓名:田恒 学号: 1305110089 指导老师:刘昌华 2014年12月10日

目录 1、实验名称 2、实验目的 3、实验原理 4、实验环境 5、实验内容 一、DFF仿真分析 二、“维持阻塞”型DFF仿真分析 三、思考练习 四、故障排除 五、实验总结

【实验名称】触发器功能的模拟实现 【实验目的】学习时序电路的设计,了解基本触发器的功能,利用QuartusII软件的原理图输入,设计一个钟控R-S触发器形成的D触发器和边沿触发型D触发器,并验证其功能。 【实验原理】(1)钟控R-S触发器,在时钟信号作用期间,当输入R、S同时为1时,触发器会出现状态不稳定现象。为了解决这个问题,对钟控R-S触发器的控制电路进行修改,用G4门的输出信号替换G3门的S输入信号,将剩下的输入R记作D,就形成只有一个输入端的D触发器。 (2)在上述D触发器的基础上增加“维持”、“阻塞”结构,从而形成“维持阻塞”型D触发器。

【实验环境】PC机(Windows xp,QuartusII) 【实验内容】QuartusII开发数字电路的设计流程完成DFF和“维持阻塞”型D触发器的原理设计输入,编译仿真和波形仿真。 一、DFF仿真分析: step1、启动QuartusII Step2、建立工作库目录文件夹以便设计工程项目的存储 Step3、输入设计:根据上部原理图完成原理图文件,截图如下: Step4、单击存盘命令新建工程 Step5、编译综合 Step6、仿真测试 Step7、仿真结果

施密特触发器和比较器的区别

施密特触发器和比较器的区别 案场各岗位服务流程 销售大厅服务岗: 1、销售大厅服务岗岗位职责: 1)为来访客户提供全程的休息区域及饮品; 2)保持销售区域台面整洁; 3)及时补足销售大厅物资,如糖果或杂志等; 4)收集客户意见、建议及现场问题点; 2、销售大厅服务岗工作及服务流程 阶段工作及服务流程 班前阶段1)自检仪容仪表以饱满的精神面貌进入工作区域 2)检查使用工具及销售大厅物资情况,异常情况及时登记并报告上级。 班中工作程序服务 流程 行为 规范 迎接 指引 递阅 资料 上饮品 (糕点) 添加茶水 工作 要求 1)眼神关注客人,当客人距3米距离 时,应主动跨出自己的位置迎宾,然后 侯客迎询问客户送客户

注意事项 15度鞠躬微笑问候:“您好!欢迎光临!”2)在客人前方1-2米距离领位,指引请客人向休息区,在客人入座后问客人对座位是否满意:“您好!请问坐这儿可以吗?”得到同意后为客人拉椅入座“好的,请入座!” 3)若客人无置业顾问陪同,可询问:请问您有专属的置业顾问吗?,为客人取阅项目资料,并礼貌的告知请客人稍等,置业顾问会很快过来介绍,同时请置业顾问关注该客人; 4)问候的起始语应为“先生-小姐-女士早上好,这里是XX销售中心,这边请”5)问候时间段为8:30-11:30 早上好11:30-14:30 中午好 14:30-18:00下午好 6)关注客人物品,如物品较多,则主动询问是否需要帮助(如拾到物品须两名人员在场方能打开,提示客人注意贵重物品); 7)在满座位的情况下,须先向客人致歉,在请其到沙盘区进行观摩稍作等

待; 阶段工作及服务流程 班中工作程序工作 要求 注意 事项 饮料(糕点服务) 1)在所有饮料(糕点)服务中必须使用 托盘; 2)所有饮料服务均已“对不起,打扰一 下,请问您需要什么饮品”为起始; 3)服务方向:从客人的右面服务; 4)当客人的饮料杯中只剩三分之一时, 必须询问客人是否需要再添一杯,在二 次服务中特别注意瓶口绝对不可以与 客人使用的杯子接触; 5)在客人再次需要饮料时必须更换杯 子; 下班程 序1)检查使用的工具及销售案场物资情况,异常情况及时记录并报告上级领导; 2)填写物资领用申请表并整理客户意见;3)参加班后总结会; 4)积极配合销售人员的接待工作,如果下班时间已经到,必须待客人离开后下班;

触发器实验报告

实验3 触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图5-8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此 种情况发生,表5-8-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表5-8-1 图5—8—1 基本RS触发器 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图5-8-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组

成“与”的关系。Q与Q为两个互补输出端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图5-8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表5-8-2 表 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 Q n(Q n)—现态Q n+1(Q n+1 )—次态φ—不定态 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为 Q n+1=D n,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器, 触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双 D 74LS74、四D 74LS175、六D 74LS174等。 图5-8-3 为双D 74LS74的引脚排列及逻辑符号。功能如表5-8-3。

利用D触发器构成计数器

利用D触发器构成计数 器 TYYGROUP system office room 【TYYUA16H-TYY-TYYYUA8Q8-

数字电路实验设计: D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下: 说明:74LS74是上升沿触发的双D触发器, D触发器的特性方程为 二、设计方案: 用触发器组成计数器。触发器具有0 和1两种状态,因此用一个触发器就可以表示一位二进制数。如果把n个触发器串起来,就可以表示n位二进制数。对于十进制计数器,它的10 个数码要求有 10 个状态,要用4位二进制数来构成。下图是由D触发器组成的4位异步二进制加法计数器。 三、实验台: 四、布线: 1、将芯片(1)的引脚4、10连到一起, 2、将芯片(2)的引脚4、10连到一起, 3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起, 4、将芯片(1)的引脚10连到+5V; 5、将芯片(1)的引脚1、13连到一起, 6、将芯片(2)的引脚1、13连到一起, 7、将芯片(1)的引脚13和芯片(2)的引脚13连到一起, 8、将芯片(1)的引脚13连到+5V; 9、将芯片(1)的引脚3接到时钟信号CP 10、将芯片(1)的引脚2、6接到一起,再将引脚2接到引脚11 11、将芯片(1)的引脚8、12接到一起,再将芯片(1)的引脚8接到芯片(2)的引脚3 12、将芯片(2)的引脚2、6接到一起,再将引脚6接到引脚11 13、将芯片(1)的引脚5、9分别接到Q 0、Q 1 ,再将芯片(2)的引脚5、9分 别接到Q 2、Q 3 14、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

实验六 触发器实验报告

实验五 触发器实验报告 [实验目的] 1. 理解Oracle 触发器的种类和用途 2. 掌握行级触发器的编写 [预备知识] 1. PL/SQL 程序设计 [实验原理] 1. 建立触发器 CREA TE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDA TE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表 触发器事件指定了影响表的 SQL DML 语句 ( INSERT 、 DELETE 或 UPDA TE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发 默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器) 要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREA TE 语句以自动删除和重建触发器 2. 行级触发器中引用表数据 在行级触发器中,使用伪记录来表示旧数据:old 和新数据:new 引用示例::new.customer_name, :old.customer_name 3. 行级触发器中的谓词 在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:触发事件 :old :new Insert 无定义,所有字段都是NULL 该语句完成后插入的值 Update 更新前该行的旧值 更新后该行的值 Delete 删除前该行的值 无定义,所有字段

施密特触发器原理简介

施密特触发器简单介绍 本文来自: https://www.360docs.net/doc/1a14139967.html, 原文网址:https://www.360docs.net/doc/1a14139967.html,/sch/test/0083158.html 我们知道,门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上 升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压(),在输入信号从 高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压()。正向 阈值电压与负向阈值电压之差称为回差电压()。普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线则是滞回的[图6.2.2(a)(b)]。 图6.2.1 用CMOS反相器构成的施密特触发器 (a)电路(b)图形符号

图6.2.2 图6.2.1电路的电压传输特性 (a)同相输出(b)反相输出 用普通的门电路可以构成施密特触发器[图6.2.1]。因为CMOS门的输入电阻很高,所以 的输入端可以近似的看成开路。把叠加原理应用到和构成的串联电路上,我们可以推导出 这个电路的正向阈值电压和负向阈值电压。当时,。当从0逐渐上升到时, 从0上升到,电路的状态将发生变化。我们考虑电路状态即将发生变化那一时刻的情况。 因为此时电路状态尚未发生变化,所以仍然为0,, 于是,。与此类似,当时,。当从逐渐下降到 时,从下降到,电路的状态将发生变化。我们考虑电路状态即将发生变化那一时刻 的情况。因为此时电路状态尚未发生变化,所以仍然为, ,于是, 。通过调节或,可以调节正向阈值电压和反向阈值电压。不过,这个 电路有一个约束条件,就是。如果,那么,我们有及

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

触发器实验报告

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 保持 1 1 0 1 置0 1 0 1 1 1 翻转 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三

1 0 1 0 0 1 置1 1 1 实验截图: (上:Qn,下:CP,J为高电平时) 2、D 触发器转换为T’触发器实验 设计过程:D 触发器和T’触发器的次态方程如下: D 触发器:Q n+1= D , T’触发器:Q n+1=!Q n 若将D 触发器转换为T’触发器,则二者的次态方程须相等,因此有:D=!Qn。实验截图: (上:Qn,下:!Qn)CP为1024Hz 的脉冲。

3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1+n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

实验四 触发器 实验报告

实验四触发器实验报告 徐旭东 11180243 物理112班 一、实验目的 1. 熟悉并掌握R-S、D、J-K触发器的特性和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片 三、实验内容步骤及记录 1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。

(1)试按下面的顺序在S R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 d S =1 d R =1 观察并记录触发器的Q 、Q _ 端的状态,将结果填入 下表4.1中,并说明在上述各种输入状态下,RS 执行的是什么逻辑功能? 表4.1 d S d R Q 逻辑功能 0 1 1 1 1 1 0 1 1 1 0 0 0 0 1 1 置1 保持 置0 保持 (2)当d S 、d R 都接低电平时,观察Q 、Q _ 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q _ 端的状态,重复3~5次看Q 、Q _ 端的状态是否相同,以正确理解“不定” 状态的含义。 结论: 当d S 、d R 都接低电平时,Q 和Q _ 端的状态不定。 2. 维持- 阻塞型D 触发器功能测试 双D 型正边沿维持-阻塞型触发器74LS74的逻辑符号如图4.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位端),CP 为时钟脉冲端。试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录Q 、Q _ 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉 冲作为CP ,观察并记录当CP 为0、 、1、 时Q 端状态的变化。 图4.1 基本RS 触发器电 图4.2D 逻辑符号

实验六 触发器实验报告

实验六触发器实验报告 触发器实验报告 [实验目的]1、理解Oracle触发器的种类和用途2、掌握行级触发器的编写 [预备知识]1、 PL/SQL程序设计 [实验原理]1、建立触发器 CREATE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDATE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表触发器事件指定了影响表的 SQL DML 语句 ( INSERT、 DELETE 或 UPDATE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器)要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREATE 语句以自动删除和重建触发器2、行级触发器中引用表数据在行级触发器中,使用伪记录来表示旧数据:old和新数据:new 触发事件 :old :new Insert 无定义,所有字段都是NULL该语句完成后插入的值 Update 更新前该行的旧值更新后该行的值 Delete 删除前该行的值无定

义,所有字段都是NULL引用示例::new、 customer_name, :old、customer_name3、行级触发器中的谓词在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:inserting,updating,deleting。 示例: IF Inserting THEN 语句 ; END IF; IF Updating THEN 语句 ; END IF; IF Deleting THEN 语句 ; END IF;4、触发器的限制 SELECT 语句必须是 SELECT INTO 语句或内部游标声明。 行级触发器不可以对触发表进行查询,包括其调用的子过程中。 不允许 DDL 声明和事务控制语句。 如果由触发器调用存储子过程,则存储子程序不能包括事务控制语句。 :old 和 :new 值的类型不能是 LONG 和 LONG RAW。 [实验内容]1、给Customer表增加一列Savings,类型为int,来存放每个顾客的存款总额。A LTER TABLE customer ADD (saving varchar2(30));select * from customer;2、更新Customer表,使得Savings字段的值正确。 3、在Account表上增加一个行级触发器,当对account的balance进行update和insert一个记录时同步修改Customer的Savings字段,保证数据的一致性。

斯密特触发器

斯密特触发器 斯密特触发器又称斯密特与非门,是具有滞后特性的数字传输门. ①电路具有两个阈值电压,分别称为正向阈值电压和负向阈值电压②与双稳态触发器和单稳态触发器不同,施密特触发器属于"电平触发"型电路,不依赖于边沿陡峭的脉冲.它是一种阈值开关电路,具有突变输入——输出特性的门电路.这种电路被设计成阻止输入电压出现微小变化(低于某一阈值)而引起的输出电压的改变.当输入电压由低向高增加,到达V+时,输出电压发生突变,而输入电压Vi由高变低,到达V-,输出电压发生突变,因而出现输出电压变化滞后的现象,可以看出对于要求一定延迟启动的电路,它是特别适用的.从IC内部的逻辑符号和“与非”门的逻辑符号相比略有不同,增加了一个类似方框的图形,该图形正是代表斯密特触发器一个重要的滞后特性。当把输入端并接成非门时,它们的输入、输出特性是:当输入电压V1上升到VT+电平时,触发器翻转,输出负跳变;过了一段时间输入电压回降到VT+电平时,输出并不回到初始状态而需输入V1继续下降到VT-电平时,输出才翻转至高电平(正跳变),这种现象称它为滞后特性,VT+—VT-=△VT。△VT称为斯密特触发器的滞后电压。△VT与IC的电源电压有关,当电源电压提高时,△VT略有增加,一般△VT 值在3V左右。因斯密特触发器具有电压的滞后特性,常用它对脉冲波形整形,使波形的上升沿或下降沿变得陡直;还可以用它作电压幅度鉴别。在数字电路中它也是很常用的器件。 施密特触发器 施密特波形图 施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。 门电路有一个阈值电压,当输入电压从低电平上升到阈值电压或从高电平下降到阈值电压时电路的状态将发生变化。施密特触发器是一种特殊的门电路,与普通的门电路不同,施密特触发器有两个阈值电压,分别称为正向阈值电压和负向阈值电压。在输入信号从低电平上升到高电平的过程中使电路状态发生变化的输入电压称为正向阈值电压,在输入信号从高电平下降到低电平的过程中使电路状态发生变化的输入电压称为负向阈值电压。正向阈值电压与负向阈值电压之差称为回差电压。它是一种阈值开关电路,具有突变输入——输出特性的门电路。这种电路被设计成阻止输入电压出现微小变化(低于某一阈值)而引起的输出电压的改变。利用施密特触发器状态转换过程中的正反馈作用,可以把边沿变化缓慢的周期性信号变换为边沿很陡的矩形脉冲信号。输入的信号只要幅度大于vt+,即可在施密特触发器的输出端得到同等频率的矩形脉冲信号。当输入电压由低向高增加,到达V+时,输出

D触发器的设计

目录 第一章绪论0 简介0 集成电路0 版图设计1 软件介绍1 标准单元版图设计1 标准单元版图设计的概念1 标准单元版图设计的历史1 标准单元的版图设计的优点2 标准单元的版图设计的特点2 第二章D触发器的介绍 2 简介2 维持阻塞式边沿D触发器3 电路工作过程3 状态转换图和时序图3 同步D触发器3 电路结构3 逻辑功能4 真单相时钟(TSPC)动态D触发器4 第三章工艺基于TSPC原理的D触发器设计5 电路图的设计5 创建库与视图5 基于TSPC原理的D触发器电路原理图5 创建D触发器版图6 设计步骤6 器件规格7 设计规则的验证及结果8 第四章课程设计总结9 参考文献 9 第一章绪论 简介 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采

用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。软件介绍 目前大部分IC 公司采用的是UNIX 系统,使用版本是SunSolaris。版图设计软件通常为Cadence ,它是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB 设计。软件操作界面人性化,使用方便,安全可靠,但价格较昂贵。 标准单元版图设计 标准单元版图设计的概念 标准单元,也叫宏单元。它先将电路设计中可能会遇到的所有基本逻辑单元的版图, 按照最佳设计的一定的外形尺寸要求, 精心绘制好并存入单元库中。实际设计ASIC电路时, 只需从单元库中调出所要的元件版图, 再按照一定的拼接规则拼接, 留出规则而宽度可调的布线通道, 即可顺利地完成整个版图的设计工作了。 基本逻辑单元的逻辑功能不同, 其版图面积也不可能是一样大小的。但这些单元版图的设计必须满足一个约束条件, 这就是在某一个方向上它们的尺寸必须是完全一致的, 比如说它们可以宽窄不一, 但它们的高度却必须是完全相等的,这就是所谓的“等高不等宽”原则。这一原则是标准单元设计法得以实施的根本保证。 标准单元版图设计的历史 随着集成电路产业迅猛的发展,工艺水平不断提高,集成电路特征尺寸循着摩尔定律不断缩小。设计芯片时需要考虑的因素越来越多,芯片设计的复杂程度也越来越高。因而尽可能复用一些已经通过工艺验证的IP核可以提高设计的效率,降低芯片设计的成本。

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1” 段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0(=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器

在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。 图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

D触发器工作原理

D触发器工作原理 D触发器工作原理 主从JK触发器是在CP脉冲高电平期间接收信号,如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器产生与逻辑功能表不符合的错误状态。边沿触发器的电路结构可使触发器在CP脉冲有效触发沿到来前一瞬间接收信号,在有效触发沿到来后产生状态转换,这种电路结构的触发器大大提高了抗干扰能力和电路工作的可靠性。下面以维持阻塞D触发器为例介绍边沿触发器的工作原理。 维持阻塞式边沿D触发器的逻辑图和逻辑符号如图9-7所示。该触发器由六个与非门组成,其中G1、G2构成基本RS触发器,G3、G4组成时钟控制电路,G5、G6组成数据输入电 路。和分别是直接置0和直接置1端,有效电平为低电平。分析工作原理时,设和 均为高电平,不影响电路的工作。电路工作过程如下。 (a) 逻辑图 (b) 逻辑符号 图9-7 维持阻塞型D触发器 ①CP=0时,与非门G3和G4封锁,其输出为1,触发器的状态不变。同时,由于至G5和至G6的反馈信号将这两个门G5、G6打开,因此可接收输入信号,使=,= =。 ②当CP由0变1时,门G3和G4打开,它们的输出和的状态由G5和G6的输出状态决定。==,==。由基本RS触发器的逻辑功能可知,=。

③触发器翻转后,在CP=1时输入信号被封锁。G3和G4打开后,它们的输出和的状态是互补的,即必定有一个是0,若为0,则经G4输出至G6输入的反馈线将G6封锁,即封锁了D通往基本RS触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。G3为0时,将G4和G5封锁,D端通往基本RS触发器的路径也被封锁;G3输出端至G5反馈线起到使触发器维持在1状态的作用,称作置1维持线;G3输出端至G4输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器称为维持阻塞触发器。 由上述分析可知,维持阻塞D触发器在CP脉冲的上升沿产生状态变化,触发器的次态取决于CP脉冲上升沿前D端的信号,而在上升沿后,输入D端的信号变化对触发器的输出状态没有影响。如在CP脉冲的上升沿到来前=0,则在CP脉冲的上升沿到来后,触发器置0;如在CP脉冲的上升沿到来前=1,则在CP脉冲的上升沿到来后触发器置1。维持阻塞触发器的逻辑功能表如表9-4所示。 表9-4 触发器的逻辑功能表 说明 0 0 复位 1 1 置位 依据逻辑功能表可得触发器的状态方程为 (9-2) 【例9-4】已知上升沿触发的D触发器输入和时钟CP的波形如图9-8所示,试画出端波形。设触发器初态为0。 图9-8 维持阻塞触发器的波形图

数据库存储器与触发器实验报告(互联网+)

南昌航空大学实验报告 二0一七年 5 月 3 日 课程名称:数据库概论实验名称:存储器与触发器 班级:xxx 姓名:xxx 同组人: 指导教师评定:签名: 一、实验环境 1.Windows2000或以上版本; 2.SQLServer2000或2005。 二、实验目的 1.掌握存储过程的创建,修改,使用,删除; 2.掌握触发器的创建,修改,使用,删除。 三、实验步骤及参考源代码 1.创建过程代码: CREATE PROCEDURE C_P_Proc(@ccna varchar(10),@cno char(4)OUTPUT,@cna varchar(10)OUTPUT,@pna varchar(20)OUTPUT,@num int OUTPUT) AS SELECT @cna=cna,@cno=https://www.360docs.net/doc/1a14139967.html,o,@pna=pna,@num=num FROM cp,customer,paper WHERE https://www.360docs.net/doc/1a14139967.html,o=https://www.360docs.net/doc/1a14139967.html,o AND paper.pno=cp.pno AND cna=@ccna;

6.执行存储过程C_P_Pro,实现对李涛,钱金浩等不同顾客的订阅信息查询execute C_P_Proc@name='李涛' execute C_P_Proc@name='钱金浩' 7,删除存储过程C_P_Prcc DROP PROCEDURE C_P_PROC (4)在DingBao数据库中针对PAPER创建插入触发器TR_PA PER_I、删除触发器TR_PAPER_D、修改触发器TR_PAPER_U。具体要求如下。

<1>对PAPER的插入触发器:插入报纸记录,单价为负值或为空时,设定为10元。 CREATE TRIGGER TR_PAPER_I ON paper FOR INSERT AS DECLARE @ippr FLOAT; declare @ipno int; SELECT @ippr=ppr,@ipno=pno from inserted begin if @ippr<0 or @ippr is NULL begin raiserror('报纸的单价为空或小于零!',16,1) update paper set ppr=10 where paper.pno=@ipno end

D触发器设计

要求:采用0.25um CMOS工艺(SPICE模型,BSIM3.1)设计一个基于传输门结构的D触发器,要求该D触发器带有异步高电平置位。 1)用HSPICE仿真验证该D触发器功能的正确性。 2)利用HSPICE仿真得出该D触发器的建立时间、保持时间和传输延时的值,假设D触发器的负载是该D触发器的数据输入端。 3)请优化该D触发器的晶体管尺寸,使建立时间最小。 4)优化尺寸使传输延时最小。 仿真过程中,输入信号和clock的跳变沿(上升沿时间和下降沿时间)统一为0.2ns。如下面的clock的例子: vclk clock 0 pulse (0 2.5 0 0.2n 0.2n 4.8n 10n) 建立时间定义含混,难以精确确定。一般减小寄存器数据到clock的时间不会使输出立刻出错,但它会使输出延时增大,所以一般建立时间的定义有两种方法: (b) 1、定义成时钟之前数据输入必须有效的时间。(但有效的含义是什么,难以精确确定) 2、定义成使D-Clk时间差与tc-q延时的和最小时寄存器的工作点。这一点使触发器的延时总开销最小。即图(b)中斜率45度的点。X轴和Y轴等比例! 在全定制设计中这个值可以比较接近出错点,但在半定制标准单元设计中,寄存器的建立时间和保持时间定义成相对tcq增大一个固定百分比时(一般为5%),数据-时钟的时间差。注意,这些曲线在0-1和1-0翻转时不同,因此0和1值得建立时间和保持时间是不一样的,另外建立时间和保持时间还和时钟与数据的斜率有关,在非线性模型中用一个二维表格表示。 在本练习中,采用第二种建立时间定义方法。假定都采用使输出延时比原来增大5%的时间点作为建立时间。分别测量输出“1”和输出“0”的不同的建立时间。保持设计的定义与此相似,,同样用输出延时增加5%作为定标点。

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

相关文档
最新文档