集成电路培养方案.

集成电路培养方案.
集成电路培养方案.

西安邮电学院电子工程学院

本科集成电路设计与集成系统专业培养方案

学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士

一、专业培养指导思想

遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。

二、专业培养目标

本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。

三、学制与学分

学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

四、主干学科

电子科学与技术

五、主要课程

本专业主要专业基础和专业课程有:电路分析基础、模拟电子技术基础、数字电路与逻辑设计、高频电子线路、信号与系统、数字信号处理、通信原理、电磁场与电磁波、微机原理与嵌入式系统、计算机系统结构、半导体器件、Verilog HDL数字系统设计、基于FPGA的嵌入式系统设计、模拟集成电路设计、数字集成电路设计、集成电路工艺原理、EDA技术实验、SoC设计方法学等。

六、培养体系结构及学分比例

本专业培养方案课内安排2496学时,分为三个平台:

1.全校公共基础课平台:1216学时,占课内总学时48.72%。

2.学科基础课平台:768学时,占课内总学时30.77%。

3.专业课平台:512学时,占课内总学时20.51%。

本专业四年课内总学分156学分,必修课与选修课比例为:7:3。

本专业四年内实践环节教学总时间(包括课内实验、上机等),安排不少于50周,其中集中实践教学环节安排34周,除此之外,学生必须参加课外科技活动和实践活动,并取得8个以上的学分,实践环节总学分为62学分。

七、教学进程总体安排及课程设置(含课程性质、类型、学时、学分分配、教学方式、开课时间、实践环节安排等)

1. 各学期周数分配表(见附表一)

2. 教学进程安排表(见附表二)

3. 课程安排表(按学期分配)(见附表三)

4. 本专业提供辅修的核心课程(见附表四)

八、实践教学体系安排表

实践教学体系表---集中实践环节和课外实践环节

九、集中实践环节内容及基本要求

本专业在四年中安排了军训、认识实习、高级语言程序课程设计、电装实习、数字逻辑设计课程设计、电路设计与仿真基础训练、SoPC课程设计、集成电路设计课程设计、科研训练、生产实习、毕业设计等实践教学环节共计34周。这些实践环节对培养学生的实践和创新能力有着极为重要的作用,是本专业培养集成电路设计人才的特色之一。

1、认识实习

时间:安排在第二学期,为期0.5周。

内容目的:组织学生到集成电路设计企业、电信公司等相关企业和部门参观学习。

了解这些部门的组织管理和业务流程。获取对集成电路设计的感性认识。

地点:相关企业、机构与学校。

组织形式:由院系部组织安排。

2、高级语言程序课程设计

时间:安排在第二学期,为期1.5周。

内容目的:让学生综合应用所学的计算机基础知识和所掌握的程序设计语言,自行设计并实现一个较为完整的小型管理信息系统或小型应用系统的设计与开发。其主要目的是使学生通过系统分析、系统设计、编程调试,写实验报告等环节,初步掌握软件系统设计的方法和步骤,灵活运用程序设计语言进行软件开发的能力,提高分析问题和解决问题的能力,提高程序设计水平。

地点:校内。

组织形式:由教务处组织安排。

3、电装实习

时间:安排在第三学期后两周,为期2周。

内容目的:在学习模拟电路理论知识的基础上,利用相关知识分析模拟电路(如:收音机)的工作原理,并动手焊接一些电路。掌握焊接技术,更深刻地理解电路的工作原理。指导老师给出实习的相关内容,并对实习的全过程进行指导,帮助学生分析解决实习过程中遇到的问题。在完成实习内容的基础上,写出完整的实习报告,重点分析遇到的问题并给出解决方法,从而提高分析与解决问题的能力。

地点:电装实验室。

组织形式:由院系部组织安排。

4、数字逻辑课程设计

时间:安排在第四学期后两周,为期2周。

内容目的:数字逻辑课程设计在“数字电路与逻辑设计”和“数字电路实验”课的基础上,进一步深化的实践环节。其主要目的是通过本课程设计,培养、启发学生的创造性思维,进一步理解数字电路系统的概念,掌握小型数字电路系统的设计方法,掌握小型数字电路系统的组装和调试技术,掌握查阅有关资料的技能,提高分析和解决实际问题的能力。基本任务是设计一个具有实际应用价值的小型数字电子系统。通过本课程设计要加强学生“数字电路系统”的概念,从“系统”的层次分析问题、解决问题。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外,要特别注重使用“电路组装”的方法。

地点:校内。

组织形式:由院系部组织安排。

5、电路设计与仿真基础训练

时间:安排在第五学期前两周,为期2周。

内容目的:通过一个经典设计实例,基本掌握Multisim和Altium Designer两个实用EDA软件工具。掌握Multisim模拟、数字电路仿真,虚拟仪器观察使用;掌握Altium Designer原理图设计,包括原理图元件库设计;掌握Altium Designer PCB 设计,包括封装库设计,自动布线规划。巩固和加深对电子电路理论知识的理解,运用课程中所学的电路分析和设计方法解决实际问题,使学生树立严肃认真的工作作风和实事求是的科学态度。

地点:校内。

组织形式:由院系部组织安排。

6、SoPC课程设计

时间:安排在第六学期后两周,为期2周。

内容目的:巩固《数字电路与逻辑设计》、《Verilog HDL数字系统设计》、《微机原理与嵌入系统》、《高级语言程序设计》、《基于FPGA的嵌入式系统设计》等课程所学知识,使用Nios II软核设计并实现高性能嵌入式硬件/软件系统,硬件电路采用Verilog HDL设计方法,使用C语言进行软件程序设计,培养学生初步的数字电路设计能力、C语言编程能力以及嵌入式系统开发能力,提高学生综合素质。要求学生完成技术规范制订、总体方案设计、详细方案设计、硬件电路设计、仿真验证、综合布局布线、后仿真、下载实现、软件编程调试等全过程,并提交相应文档。初步具备FPGA嵌入式系统开发能力以及数字集成电路前端设计能力。

地点:校内。

组织形式:由院系部组织安排。

7、集成电路设计课程设计

时间:安排在第七学期后两周,为期2周。

内容目的:巩固《数字集成电路设计》、《CMOS模拟集成电路设计》、《EDA技术实验》等课程所学知识,要求学生根据指导教师布置的设计题目,根据集成电路设计流程,使用EDA工具完成VLSI芯片的全部设计,包括系统结构划分、功能的语言描述、仿真、综合、版图设计参数提取与规格检查、静态时序分析等。通过本课程的训练,使学生对集成电路设计流程有较完整和深入的认识,能够熟练应用相关的EDA实现工具,培养学生初步的集成电路物理层设计能力和较好的学习与实践能力。

地点:校内。

组织形式:由院系部组织安排。

8、科研训练

时间:安排在第七学期,与教学同步。

内容目的:科研训练是课外实践环节的必修课,是一门综合性的工程实践设计课程。

让学生在学完专业基础知识和完成基本实验和实践训练环节前提下,结合一个大型综合课题了解科研工作的研发过程,并掌握科研工作的基本步骤,包括:进行具体的科研选题;查找相应的参考资料;写出较为详细的实现方案;进行相关的上机实验;完成科研训练报告。充分发挥学生的自我能动性和创造力,引导学生由原理分析向工程设计过渡;培养学生的工程设计能力和创造力,为最后学期的毕业设计进行准备训练。

地点:校内。

组织形式:由院系部组织安排。

9、生产实习

时间:安排在第八学期前四周,为期4周。

内容目的:通过该实践教学环节,使学生具有较强的半导体器件、集成电路生产实践和设计开发能力,进一步掌握和加深微电子技术领域相关理论知识和实践经验,培养学生灵活运用理论知识解决实际问题的能力,培养学生认真刻苦、缜密思维和自主创新的科学精神,同时给毕业论文的写作提供准备,安排学生在相关企业、学校或居住地就近联系单位实习。

地点:相关企业、学校或居住地就近单位。

组织形式:由院系部组织安排。

10、毕业论文

时间:安排在第八学期,为期14周。

内容目的:毕业论文是对学生四年学习效果的检验和总结,在教师的指导下确定题目,收集资料,进行相关的实验验证,根据教师的安排进行论文写作。

地点:校内或校外。

组织形式:各系部教师拟定大体研究领域、范围或是具体题目。学生根据自己的实际情况和研究爱好与指导教师协商后选定题目,在教师的指导下按照确定的程序进行论文设计和写作。

十、课外科技与实践活动内容及基本要求

本专业学生在四年中必须修满课内156学分,集中实践环节34学分。除此之外,为了进一步加强能力和素质培养,还安排了课外培养项目。学生必须参加课外科技与实践活动,并取得8个以上的学分,要求学生必须完成电子技术综合设计1学分、集成电路版图设计训练1学分、社会实践活动1学分、学术活动(听报告或讲座并撰写报告)1学分、学科竞赛等活动1学分、专业综合1学分以及校园文化等活动2学分。本专业四年除课程安排实验外,实验室对学生实行开放。

1、电子技术综合设计实践

时间:安排在第五学期,与教学同步。

内容目的:电子技术综合设计实践是集电路分析、模拟电子技术、数字电子技术以及电路实验、模拟电子技术实验、数字电子技术实验等课程之后的一门理论与实践相结合的综合设计性实践环节。采用开放式教学形式,由指导教师讲解电子电路设计的一般思路,提出本次电子技术综合设计的任务、要求,提供部分参考题目以及相关的技能、技巧;学生通过查阅文献资料,自行选题分析设计。在实验过程中,学生根据自己所选定的题目要求,拟定设计方案,并在计算机上完成原理分析、电路设计和模拟仿真。在仿真通过的基础上,经过选定元器件、制板、组装、焊接、调试等环节,最终完成实验样机。最后,完成课程设计报告。通过该实验激发学生学习兴趣,使学生掌握如何用CAD软件对电子线路进行分析和设计,提高学生的电子工程素质和科学实验能力,能对所学知识融会贯通,综合运用。

地点:校内。

组织形式:由系部和教务处组织安排。

2、集成电路版图设计训练

时间:安排在第六学期,与教学同步。

内容目的:集成电路版图设计训练使学生掌握运用特定的计算机软件(EDA)从事芯片物理结构分析、版图编辑、逻辑分析、版图物理验证、版图布局布线、建立后端

设计等流程的能力。根据所给CMOS集成电路版图,提取电路;将所提电路与原版图进行LVS,并分析电路功能;按新工艺的有关参数进行电路再设计和模拟;按新工艺的设计规则进行版图再设计,并进行DRC和LVS;对版图进行LPE,并进行后模拟。

要求学生完成各个设计环节,提供各个设计环节的结果和设计报告。

地点:校内。

组织形式:由系部和教务处组织安排。

3、社会实践

时间:安排在第五学期前,在假期进行。

内容目的:社会实践是课外实践环节的必修课。学生可利用假期时间,以个人或小组为单位,通过对社会焦点热点的调查研究和思考探讨,让同学在度过一个充实而有意义的暑假的同时,也让同学们走近社会,关注社会,从实践中感知和体验社会,深入了解和探讨社会问题,从而提高大家探索问题、分析问题和总结问题的能力,是体验生活、锻炼自己的一种必要途径。原则上调研时间不得少于七天。调研形式不限,渠道不限,鼓励创新和节约。收集到第一手资料后要求将调研成果汇总成一份不少于1500字的调研报告。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

4、学术报告

时间:安排在每个学期,与教学同步。

内容目的:为了增强学生科研创新意识,更多地接触学术前沿,更多地参与学术研究,营造良好的学生学术科研创新氛围。每学年应至少听3次学术报告,大学四年必须参加10次以上的学术报告或讲座,然后撰写一篇不少于1500字的调研报告。

通过学术报告或讲座为学生开展学术报告会活动,有利于培养学生研究性学习习惯,有利于培养学生发现问题解决问题的能力,有利于培养学生创新意识与创新能力,有利于营造良好的学术氛围与学习风气。学术气氛孕育创新思维,创新思维源于学术交流,学术交流活跃学术气氛。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

5、学科竞赛等活动

时间:安排在每个学期,与教学同步。

内容目的:为了增强学生科技创新意识,培养学生的学习兴趣、增强学生的实践能力。大学四年应该完成学科竞赛等活动并取得1分。具体要求见下表,其中跨学科

选修课程包括人文模块、经济管理模块、信息技术模块(含通信概论)、自然科学模块等。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

6、校园文化活动

时间:安排在每个学期,与教学同步。

内容目的:大学生校园文化活动以开展各种有益大学生身心健康的文化艺术活动为主要内容,包括体育比赛、演讲、辩论、文艺演出、书法绘画等,大力开展丰富多彩、积极向上的学术、科技、艺术、体育和娱乐活动,把德育、智育、体育、美育有机结合起来,培养大学生的学习能力、创新能力、实践能力、交流能力和社会适应能力,全面提高大学生的综合素质。大学四年应该参加校园文化活动并取得2学分。具体要求见下表,其中素质拓展类课程包括职业生涯教育、心理健康教育、数学建模、电子设计、就业指导、情报检索、卫生保健、以及外语类、体育类、艺术类等课程。

地点:不限。

组织形式:由系部组织安排或个人自行安排。

7、专业综合

时间:安排在第七学期,与教学同步。

内容目的:让学生在学完专业基础知识和完成基本实验和实践训练环节前提下,通过对四年知识、能力、素质的总结和考核,使学生对集成电路设计与集成系统专业有一个总体把握和更深入的理解,为生产实习、就业和毕业设计打下良好的基础。

地点:校内。

组织形式:由系部组织安排。

十一、课程中英文对照表(见附表五)

附表一:

各学期周数分配表

112

教学进程安排表

注:《形势与政策》课在各专业均1-7学期开设并以讲座形式安排,每学期16学时(其中讲座6学时,多种教学形式10学时)。

附表三:

课程安排表(按学期编排)

专业:集成电路设计与系统集成

注:1、课程类型分为必修、选修

2、学分要求为学生每学期必须完成的学分数

续表:

课程安排表(按学期编排)

专业:集成电路设计与系统集成

注:1、课程类型分为必修、选修

2、学分要求为学生每学期必须完成的学分数

注:1、课程类型分为必修、选修

2、学分要求为学生每学期必须完成的学分数

集成电路培养方案.

西安邮电学院电子工程学院 本科集成电路设计与集成系统专业培养方案 学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士 一、专业培养指导思想 遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。 二、专业培养目标 本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。 三、学制与学分 学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

清华大学2012年集成电路设计实践课程课件

集成电路设计实践
李福乐 lifule@https://www.360docs.net/doc/1a6363009.html, @ g 清华大学微电子学研究所 助教: 李玮韬 王少鹏 liwt07@https://www.360docs.net/doc/1a6363009.html, wspeng511799@https://www.360docs.net/doc/1a6363009.html,
1

集成电路设计实践
课程简介 设计题目与实例 集成电路的制作与设计流程 可测性设计注意事项 芯片规格及封装 基础知识
版图的基本概念 版 的基本概念 CMOS工艺中的元件 版图设计规则 版图设计准则
设计工具的使用(实验课)
2

一、课程简介 一 课程简介
基本情况 学分: 学分:2 时间:春季学期(部分)+秋季学期(部分) 内容: 内容 电路设计、版图设计、芯片加工、样片 封装、样片测试、总结报告。 封装 样片测试 总结报告
3

一、课程简介(续) 、课程简介(续)
课程特点: 课程特点
完整的IC设计流程训练 重点在物理层和后端设计
工艺 集成元件 版图 芯片测试
实践为主, 工作量大 测试结果最重要
4

一、课程简介(续) 、课程简介(续)
安排: 1. 前期:设计题目选择、设计方案、电路 1 前期 设计题目选择 设计方案 电路 设计和仿真、版图设计 2. 中期:芯片加工、整理设计文档。 2 中期 芯片加工 整理设计文档 3. 后期(秋季学期):样片测试、总结报 告、答辩。
5

一、课程简介(续) 、课程简介(续)
第1~9周 第1 9周 教师向学生提供设计规则、版图要求、报告 格式要求;介绍必要的版图知识、设计方法 格式要求 介绍必要的版图知识 设计方法 及工具;有关测试、封装及注意事项;设计 题目介绍等。 2.学生选题与分组 3. 完成可测性电路设计方案及版图设计总体方 案(包括关键电路的处理、管脚安排、PAD 要求、测试点、测试方法等) 第六周与老师讨论前端设计,通过后方可进 第六周与老师讨论前端设计 通过后方可进 行版图设计!
1.
6

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

电子与通信工程、集成电路工程专业研究生培养方案

电子与通信工程、集成电路工程专业研究生培养方案 一、培养目标 工程硕士专业学位是与工程领域任职资格相联系的专业性学位,培养应用型、复合式高层次工程技术和工程管理人才。具体要求为: (一)拥护党的基本路线和方针政策,热爱祖国,遵纪守法,具有良好的职业道德和敬业精神,具有科学严谨和求真务实的学习态度和工作作风,身心健康。 (二)掌握所从事领域的基础理论、先进技术方法和手段,在领域的某一方向具有独立从事工程设计、工程实施,工程研究、工程开发、工程管理等能力。 (三)掌握一门外国语。 二、学习方式及年限 采用全日制学习方式,学习年限为3年。 三、培养方式 采用课程学习、实践教学和学位论文相结合的培养方式。 课程设置应体现厚基础理论、重实际应用、博前沿知识,着重突出专业实践类课程和工程实践类课程。 实践教学是全日制工程硕士研究生培养中的重要环节,鼓励工程硕士研究生到企业实习,可采用集中实践与分段实践相结合的方式。校内学术培养模式,实习期为半年;校外联合培养模式,实习期为两年。 学位论文选题应来源于工程实际或具有明确的工程技术背景。 四、课程设置 公共基础课程(必修课程): 科学社会主义理论与实践(2学分) 自然辩证法概论(1学分)

研究生英语综合(4学分) 专业基础课程(必修课程): 电子信息前沿(上+下) (1+1=2学分) (所有专硕必选) 产业发展前沿(1学分) (所有专硕必选)科研素质先导课(2学分) 工程素质先导课(3学分) (以上两门必选一门) 专业实践课程 现代数字信号处理(3学分) 信号处理中的数学方法(2学分) 高等半导体物理(3学分) 电磁波理论与技术(上+下)(4+3=7学分) 现代电子工程进展(3学分) 自适应信号处理(3学分) 矩阵论(3学分) 数字通信(3学分) 成像原理与图像工程(3学分) 光电子材料与器件(3学分) 半导体量子物理学(2学分) 集成电路工艺、器件及表征(3学分) 选修课程: SoC设计方法(3学分) 软件工程实践(3学分)

集成电路设计实训

研究生课程开设申请表 开课院(系、所):集成电路学院 课程申请开设类型:新开√重开□更名□(请在□内打勾,下同)

一、课程介绍(含教学目标、教学要求等)(300字以内) 本课程将向学生提供集成电路设计的理论与实例相结合的培养训练,讲述包括电路设计与仿真、版图设计和验证以及寄生参数提取的完整全定制集成电路设计流程以及CADENCE与IC制造厂商的工艺库配合等内容。通过系统的理论学习与上机实践,学生可掌握集成电路设计流程以及各阶段所使用的工具,并能进行集成电路的设计工作。 掌握资料查询、文献检索及运用现代信息技术获取相关信息的基本方法;培养学生具有一定的设计,归纳、整理、分析设计结果,撰写论文,参与学术交流的能力。 指导学生学会如何利用现代的EDA工具设计集成电路,培养学生的工程设计意识,启发学生的创新思想。 全面了解集成电路设计、制造、封装、测试的完整芯片制成技术,提高综合运用微电子技术知识的能力和实践能力。 二、教学大纲(含章节目录):(可附页) 第一章cadence集成电路设计软件介绍 第二章偏置电路设计 第三章基本运放和高性能运放 第四章比较器、振荡器设计 第五章电源系统设计(LDO与DC-DC) 三、教学周历

四、主讲教师简介: 常昌远,男,1961年10月出生,2000年东南大学微电子专业博士毕业,现为东南大学副教授,硕士研究生导师。长期从事微电子和自动控制领域内的教学、科研和指导研究生工作。参加过国家自然科学基金重点项目的研究、并主持与IC设计企业合作的多项横向研究课题。近年来主要从事显示控制芯片和电源管理芯片DC-DC、LDO等产品的开发,在CMOS数字集成电路、模拟集成电路的分析、设计与研发、系统的建模和稳定性设计等方面积累了较丰富的实际工作经验。教学方面,主讲包括与研究方向有关的“半导体功率器件”,“自动控制原理”,CMOS模拟集成电路设计等课程。已在国内核心刊物上发表学术论文20余篇,获国家专利1项。目前在东南大学IC学院负责集成电路设计与MPW项目建

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

(完整版)中科院集成电路工程硕士培养方案.doc

中科院集成电路工程硕士培养方案 一、培养目标和要求 培养适应社会主义建设和经济发展需要,从事集成电路工程领域的设计、制造、研究和管理方面的高 级工程技术和工程管理人才。 具体培养要求是: 1、工程硕士专业学位获得者应较好地掌握马克思主义、毛泽东思想和邓小平理论;拥护党的基本路 线和方针、政策;热爱祖国,遵纪守法,具有良好的职业道德和创业精神,积极为我国经济建设和社会发 展服务。 2、工程硕士专业学位获得者应掌握所从事工程领域的坚实的基础理论和宽广的专业知识;掌握解决 工程问题的先进技术方法和现代技术手段;具有创新意识和独立担负工程技术或工程管理工作的能力。 3、掌握一门外国语。 二、入学要求 1、招收对象主要为具有学士学位和具有国民教育系列大学本科毕业学历但未获得学士学位的应届毕 业生或在职工作人员,年龄不限。但录取时无学士学位的人数不得超过录取总人数的10% 。 2、申请报考者,需要较系统地掌握集成电路领域专业基础知识、还需要掌握其它信息技术领域特别 是计算机方面的基本专业基础知识,具有一定的集成电路领域开发或项目管理等方面的经验和能力 3、报考人员须参加攻读硕士学位研究生的入学资格考试(GCT) 和集成电路领域的专业课考试。专业课考试包括专业基础考试和专业综合考试,其重点是考核考生对集成电路专业基础知识的掌握程度,以及解 决工程实际问题的能力。 三、培养方式及学习年限 1、集成电路领域工程硕士采用系统的课程学习和工程实践相结合的培养方式。课程学习实行学分制; 集成电路工程实践要求学生直接参与工程项目实践,完成必要的技术方案设计、开发、项目管理等工作, 并在所取得的工程实践成果基础上完成学位论文的撰写。 2、学生采取边工作边学习的学习方式,各门课程(包括实验性课程)的要求和课时数与全日制研究 生要求相同。 3、学校聘请具有丰富实践和教学指导经验的企业资深技术或管理人员参与课程教学,并对学生的工 程实践进行联合指导。 4、学习年限一般为 2 到 5 年,其中从事工程实践的时间不少于 1 年。 四、专业方向设置 集成电路设计方向;集成电路制造与工艺方向。

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

集成电路设计实习报告-孙

集成电路版图设计实习报告 学院:电气与控制工程学院 专业班级:微电子科学与工程1101班 姓名:孙召洋 学号:1106080113

一、实验要求: 1. 熟悉Cadence的工作环境。 2. 能够熟练使用Cadence工具设计反相器,与非门等基本电路。 3. 熟记Cadence中的快捷操作。比如说“W”是连线的快捷键。 4. 能够看懂其他人所画的原理图以及仿真结果,并进行分析等。 二、实验步骤: 1、使用用户名和密码登陆入服务器,右击桌面,在弹出菜单中单击open Terminal;在弹出的终端中键入Unix命令icfb&然后按回车启动Cadence。Cadence启动完成后,关闭提示信息。设计项目的建立 2、点击Tools-Library Manager启动设计库管理软件。点击File-New-Library 新建设计库文件。在弹出的菜单项中输入你的设计库的名称,比如My Design,点击OK。选择关联的工艺库文件,点击OK。在弹出的菜单中的Technology Library下拉菜单中选择需要的工艺库,然后单击OK。 3、设计的项目库文件建立完成,然后我们在这个项目库的基础上建立其子项目。点击选择My Design,然后点击File-New-Cell View。输入子项目的名称及子项目的类型,这设计版图之前我们假定先设计原理图:所以我们选择Composer-Schematic,然后点击OK。 4、进入原理图编辑平台,原理图设计,输入器件:点击Instance按键或快捷键I插入器件。查找所需要的器件类型-点击Browse-tsmc35mm-pch5点击Close。更改器件参数,主要是宽和长。点击Hide,在编辑作业面上点击插入刚才设定的器件。如果想改参数器件,点击选择该器件,然后按Q,可以修改参数器件使用同样的方法输入Nmos,工艺库中叫nch5. 点击Wire(narrow)手动连线。完成连线后,输入电源标志和地标志:在analogLib库中选择VDD和GND,输入电源线标示符。接输入输出标示脚:按快捷键P,输入引脚名称in, Direction选择input,点击Hide,并且和输入线连接起来。同理设置输出引脚Out。 5、版图初步建立新的Cell,点击File-New-Cell View 还是建立名称为inv的版图编辑文件,Tool选择Virtuoso版图编辑软件,点击OK,关闭信息提示框。进入版图编辑环境根据之前仿真所得宽长比和反相器inv或与非门NAND的原理图画出反相器inv或与非门NAND的IC版图; 6、完成后使用版图验证系统进行DRC(设计规则检查)。 三、实验设计规则: 1、Linux常用的文件和目录命令: cd //用于切换子目录 pwd//用于显示当前工作子目录 ls//用于列出当前子目录下的所有内容清单 rm//用于删除文件 touch//用于建立文件或是更新文件的修改日期 mkdir//用于建立一个或者几个子目录

集成电路课程设计报告书

集成电路原理及应用课程设计报告 \\ 题目 DDS芯片AD9850原理及应用 授课教师 学生 学号 专业

教学单位 完成时间 2011年7月1日 摘要:介绍了美国A D公司采用先进的直接数字频率合成 ( DDS )技术推出的高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口,并给出了接口电路图和部分源程序。同时给出了以AD9850为频率合成器,以AT89S52单片机为进程控制和任务调度核心来设计一个信号频率和幅度都能预置且频率稳定度高的函数信号发生器的设计方法. 引言 随着“软件无线电”技术和数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术——直接数字合成器(Direct Digital Synthesizer。DDS)被广泛应用。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。美国AD公司推出的高集成度的采用先进的CMOS技术的直接频率合成器AD9850是DDS技术的典型产品之一。AD9850是高稳定度的直接数字频率合成器件,部数据输入寄存器、可编程DDS系统、高性能数/模转换器(DAC)及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器,如接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的正弦信号。AD9850中包含高速比较器,正弦波也可直接用作频率信号源,也可通过比较器转换成方波,作为时钟输出。本文主要介绍了高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口及应用设计。 一.特性: 1)最高125MHz的时钟频率; 2)片集成高性能模数变换器(10位ADC)和高速比较器; 3)具有良好的动态性能:在40MHz输出时,DAC的抑制寄生动态围(SFDR)仍大于50dB; 4)供电模式可选:+5v或+3.3v单电源供电;

最新 产学研模式下的集成电路人才培养机制探究与实践-精品

产学研模式下的集成电路人才培养机制 探究与实践 当前社会对创新型人才具有高的需求,担负着人才培养重任的高校在理念、教学方法、人才培养等方面面临着严峻挑战。如何克服传统教育的桎梏,在高新技术为核心、知识经济占主体地位的社会背景下,培养出适合社会需求的高技术、高素质、创新型的科技人才,是高校一直努力探索与奋斗的目标。 黑龙江大学是省部共建的综合性大学,革新传统人才培养机制与模式,致力于构建教学、科研与学科三位一体的内涵发展模式。优良的教育教学大环境,先进、科学的教育理念,为集成电路人才的培养提供了肥沃的土壤。深入、切实的人才培养机制的探索与改革是新时期发展对高等教育提出的迫切要求[1]。 一、产学研模式下集成电路人才培养机制的提出 人才培养机制是多要素间互为联系,作用的复杂培养系统,是有效进行人才培养的前提和功能。适应社会技术与经济发展进步的人才培养机制的研究是提升人才培养质量的重中之重。产学研结合的教育模式源于美国教育界[2]。教育实践成果表明,该模式是高校与社会深度有机融合、推动经济与技术发展、为社会培育创新型人才的有效培养机制。产学研模式下人才培养机制的探究是与现代发展要求密不可分的。也是高校全面提升素质教育,提高人才竞争力的必然要求。 产学研模式下人才培养机制是指担负高等教育任务的院校在教育教学过程中,还要与科研活动、生产劳动与技术应用相结合,有效发挥高校的教育、科研和社会服务三大职能。《国家中长期教育改革和发展规划纲要(2010—2020)》指出:“促进高校、科研院所、企业科技教育资源共享,推动高校创新组织模式,培育跨学科、跨领域的科研与教学相结合的团队。促进科研与教学互动、与创新人才培养相结合。”[3]产学研结合是培养满足社会需求与创新型人才的有效途径。 黑龙江大学集成电路专业人才培养计划的总体框架与国内高校基本相似,集成电路专业是一门对科学研究、设计与创新、EDA工具应用等能力要求较高的学科,是涉及多产业链的技术与应用相结合的高精专产业。技术更新与发展飞速,仅依靠课堂教学中所学的知识与实验、实践环节中的技能传授,来培养高质量人才,会有一定的差距。有限的经费投入与昂贵的EDA工具相制约,出现专业实验室软硬件建设滞后于重点高校与产业应用的问题,易导致人才培养中与社会人才需求存在部分脱节。 产学研模式下的人才培养是该专业与国内集成电路各产业部门、科研机构进行紧密合作,协同培养集成电路设计人才的教育教学新模式,努力实践一条适应集成电路科研与产业需求的人才培养模式之路,即教学为根本、产业与科研为支撑、产学研互促、协同共进。 二、产学研模式下集成电路人才培养机制的构建 产学研相结合、协同培养人才的教育模式在我国高等教育教学变革中形成,人才培养不再只是高校的任务,高校、产业、科研机构三位一体,三者间不同的社会功能与资源在各自优势上进行协同、互补与优化,产业与科研机构既是培养人才的有效平台,也是人才应用的终端。由此,三者协同为社会发展需求培养人才是符合科学技术创新与社会生产力发展的规律的,也是高校创新型人才培养理念实施的有效途径,有利于优化产业科学技术与工程应用行为,提高科研机构的科研创新能力。黑龙江大学集成电路专业致力于推进产学研协同的创新人才培养机制研究与实践,将专业所在领域的优势资源有效融合,推动教育教学能力与专业人才培养质量的提升。 (一)完善人才培养方案 结合集成电路产业的实际人才需求,优化人才培养目标与课程体系设置。以原有教学计划与教学大纲为基础,通过细致调研与深入剖析,根据集成电路专业对应用型、实践和创新能力的人才需求,基于产学研结合培养集成电路人才的优势,优化并修订完成新的人才培养方案。制定学生应在知识、能力、素质三方面达到培养要求的目标。培养目标与要

相关文档
最新文档