彩灯控制器电路设计报告

彩灯控制器电路设计报告
彩灯控制器电路设计报告

西安科技大学高新学院

毕业设计(论文)

题目彩灯控制器电路设计

院(系、部) 机电信息学院

专业及班级电专1202班

姓名张森

指导教师田晓萍

日期 2015年5月28日

摘要

随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。

目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。

近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。

关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录

1前言 (1)

1.1设计目的 (1)

1.2设计要求 (1)

1.3总体方案设计与选择的论证 (2)

2节日彩灯控制器的设计 (4)

2.1核心芯片及主要元件功能介绍 (4)

2.1.1 AT89S52芯片 (4)

表1 (5)

2.1.2 74HC377芯片 (5)

2.1.3 74HC138芯片 (6)

2.2硬件设计 (7)

2.2.1直流电源电路 (7)

2.2.2按键电路 (8)

2.2.3时钟复位电路 (8)

2.2.4 LED显示电路 (9)

2.2.5硬件调试 (9)

2.3软件设计 (10)

3 总结 (15)

3.1实验方案设计的可行性、有效性 (15)

3.2设计内容的实用性 (15)

3.3心得 (16)

附录 (16)

参考文献 (18)

致谢 (19)

1前言

由于国内生活水平不断提高,人民向往较佳的生活质素,对灯具灯饰也不断提出了新要求,近年内地灯饰市场有以下情况:功能细分:人们要求灯具能符合不同场合,不同照光功能的需求日高,因此适用于各种使用要求的灯具逐应运而生,如学生灯、书写灯、应急灯、日光灯、霞光灯、晚餐灯以及不同高度的落地灯等新品叠出。高技术化:由于电子技术被广泛用于灯具的制造,适应不同的电压,使可调节亮度的第三代照光灯具多起来。无频闪灯、3种波长色谱可调灯,放射远红外光灯等具备保护视力功能的灯具也开始推出市场。国际灯具行业现代化产品设计的潮流是:减少产品的尺寸,以减少材料的投入;现代社会对产品的开以制造最重要的着眼点是“经济”和“环境保护”。照明产品最好能体现这一潮流的是紧凑荧光灯,细管径,超细管径直管荧光灯和无汞的射频(RF)或微波(MW)激发的硫灯。紧凑型荧光灯直径和尺寸,它们的形式多种多样用途也十分广泛。一般来说,它们有5倍于白炽灯的光效和8倍于白炽灯的寿命。因此,它们是绿色照明工程的推荐产品,使用紧凑型荧光灯的灯具也日益多见。

随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。因此有必要对现有的彩灯控制器进行改进。本文提出了一种基于AT89S52单片机的彩灯控制方案,实现对彩灯的控制。本方案以AT89S52单片机作为主控核心,与键盘、显示、驱动等模块组成核心主控制模块,可以显示不同的花样。

1.1设计目的

1、了解节日彩灯控制器的工作原理

2、掌握按键输入的消抖处理程序和延时程序的编写

3、掌握独立电源设计方法及原理

4、掌握电路板的实物焊接

1.2设计要求

设计4个按键K1,K2,K3,K4,

K1—上,按此键则灯由上向下流动。

K2—下,按此键则灯由下向上流动。

K3—全亮,按此键则灯全亮。

K4—停止,按此键则停止流动,所有灯为暗。

1.3总体方案设计与选择的论证

随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响彩灯效果,因此有必要对现有的彩灯控制器进行改进。

(一)设计方案

彩灯控制器大致可分为两种方案实现。一种是利用电子电路装置控制,另一种是采用单片机控制。

方案一:

根据设计任务要求介绍的彩灯控制电路的基本组成,可以确定彩灯控制器应由振荡电路、计数/时序分配电路、移位位寄存器和彩灯显示五部分组成。其框图如图1-1所示。

图1-1 彩灯循环控制器硬件框图

方案二:

本方案主要是通过对基于单片机的多控制、多闪烁方式的LED彩灯循环系统的设计,来达到本设计的要求。其硬件构成框图如图1-2所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED 发光二极管和5V直流电源电路组成。

供电信号

图1-2 单片机彩灯循环控制系统硬件框图

此设计方案中单片机的P1口接5路按键控制电路,实现彩灯花型的切换功能;单片机的P1口引脚接上四个按钮开关以实现对彩灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接二十四路LED发光二极管组成彩灯电路,显示彩灯循环情况。

(二)方案选择

结合设计任务书比较以上两种方案可知:利用电子电路装置控制,其电路不很复杂,制作相对较容易点,成本也相对较低,但可调性差,亮灯模式少而且样式单调,达不到设计任务要求或实现困难。采用单片机控制其优点是电路集成度高,工作原理简单,清晰明了,自定义编程,控制的图案花样多,移植性好等。

综上,显然方案二各方面优越于方案一,以及为了体现专业优势,本次设计采用第二种方案。

2节日彩灯控制器的设计

2.1核心芯片及主要元件功能介绍

2.1.1 AT89S52芯片

管脚说明:如图3所示:

(1)P0口

P0口为一个8位漏级开路双向I/O口,也即地址/数据总线复用口。作为输出口用时,能驱动8个TTL逻辑门电路。对端口写“1”时,被定义为高阻输入。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻.

在Flash编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。

(2)P1口

P1口是一个带内部上拉电阻的8位双向I/O口,P1口的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某

)。

个引脚被外部信号拉低时会输出一个电流(I

IL

图2-1 单片机89S52管脚图

在Flash编程和程序校验期间,P1接收低8位地址。如表1

表1

2.1.2 74HC377芯片

输出接口扩展通常用74HC377芯片来实现。该芯片是一个带允许端的8D锁存器

(1)芯片的引脚如图2-2所示

图2-2 74HC377引脚图

(2)各相关引脚的功能如下:

◇D0~D7为8位数据输入端;

◇Q0~Q7为8位数据输出端;

◇G为使能控制端;

◇CLK为时钟信号,上升沿锁存数据。

(3)74HC377真值表如下

表2 74HC377真值表

2.1.3 74HC138芯片

74HC138是一款高速CMOS器件,74HC138引脚兼容低功耗肖特基TTL (LSTTL)系列。

(1)74HC138引脚

74HC138译码器可接受3位二进制加权地址输入(A0, A1和A2),并当使能时,提供8个互斥的低有效输出(Y0至Y7)。74HC138特有3个使能输入端:两个低有效(E1和E2)和一个高有效(E3)。除非E1和E2置低且E3置高,否则74HC138将保持所有输出为高。利用这种复合使能特性,仅需4片74HC138芯片和1个反相器,即可轻松实现并行扩展,组合成为一个1-32(5线到32线)译码器。任选一个低有效使能输入端作为数据输入,而把其余的使能输入端作为选通端,则74HC138亦可充当一个8输出多路分配器,未使用的使能输入端必须保持绑定在各自合适的高有效或低有效状态。

图 2-3 74HC138引脚图

(2)74HC138的功能如下

74HC138 作用原理于高性能的存贮译码或要求传输延迟时间短的,在数据传输系统高性能存贮器系统中,用这种译码器可以提高译码系统的效率。将快速赋能电路用于高速存贮器时,译码器的延迟时间和存贮器的赋能时间通常小于存贮器的典型存取时间,这就是说由肖特基钳位的系统译码器所引起的有效系统延迟可以忽略不计。HC138 按照三位二进制输入码和赋能输入条件,从8 个输出端中译出一个低电平输出。两个低电平有效的赋能输入端和一个高电平有效的赋能输入端减少了扩展所需要的外接门或倒相器,扩展成24 线译码器不需外接门;扩展成32 线译码器,只需要接一个外接倒相器。在调节器应用中,赋能输入端可用作数据输入端。

2.2硬件设计

2.2.1直流电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分!本项目直流稳压电源为+5V。如下图所示:

直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳压电源线性集成稳压电源和开关稳压电源。下图稳压电源采用的是三端集成稳压器

7805构成的正5V直流电源。

图2-4 三端集成稳压器7805构成的正5V直流电源

2.2.2按键电路

按键控制电路(如图8所示)是由4个按键开关构成的。他们分别接在单片机AT89S52的P1接口

K1—上,按此键则灯由上向下流动。

K2—下,按此键则灯由下向上流动。

K3—全亮,按此键则灯全亮。

K4—停止,按此键则停止流动,所有灯为暗。

图2-5 按键电路

2.2.3时钟复位电路

要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复位电路等组成

时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz 石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。

复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单片机系

统的复位方式有上电自动复位和手动按键复位。本设计采用手动按键复位,该复位方式同样具有上电自动复位功能。电路如下图所示。

图2-6 时钟复位电路

2.2.4 LED显示电路

LED彩灯显示电路(如图所示)实际上是由24个发光二极管和24个电阻构成的电路。发光二极管与电阻对应串联,然后接在与之相对应的74HC377口上。通过软件编程对P2口输出高低电平来实现不同的闪烁花型。

2.2.5硬件调试

(1)调试:根据原理图将应该焊接好,用Altium Designer6.9软件下载Keil 生成的HEX文件到单片机中,再给单片机上电,程序开始运行。

(2)故障及解决:完成硬件焊接后,接上电程序并不能下载到单片机中,对比原理图的连线,一步一步检查,最终找到故障所在,74HC138使能端未接地/高电平,74HC377未接地,这些问题解决后在老师那可以烧进去程序,但是回到自己那后还是烧不进去程序,再次查询电路时发现,单片机接地端未接地,单片机的31管脚接地了,实际上应该接+5V,这些问题浪费了很长时间,所以在做设计时一定要认真仔细,故障排除后能够正常运行。

2.3软件设计

单片机的应用系统由硬件和软件组成,上述硬件原理图搭建完成上电之后,我们还不能看到多控制、多闪烁方式的LED灯系统循环点亮的现象,我们还需要告诉单片机怎么样进行控制,即编写程序控制单片机管脚电平的高低变化,来实现发光二极管的明灭。软件编程是多控制、多闪烁方式的LED灯系统中的一个重要的组成部分,是本设计的重点和难点。下面,我将阐述多控制、多闪烁方式的LED灯系统是如何实现24个LED灯的循环点亮,来介绍实现流水灯控制的软件编程方法。

本设计是以单片机AT89S52为核心控制24个发光二极管4种闪烁方式的变换。

K1—上,按此键则灯由上向下流动。

K2—下,按此键则灯由下向上流动。

K3—全亮,按此键则灯全亮。

K4—停止,按此键则停止流动,所有灯为暗。

流程图如下:

图2-7 主程序流程图

程序如下:

K1 EQU P1.0

K2 EQU P1.1

K3 EQU P1.2

K4 EQU P1.3

ORG 0000H

AJMP MAIN

ORG 0030H

MAIN:MOV R2,#49H

MOV R3,#92H

MOV R4,#24H

CLR C

L: JNB K1 ,LOOP JNB K2 ,LOOP1 JNB K3 ,LOOP2 JNB K4 ,G1

MOV DPTR,#5FFFH MOV A,#00H

MOVX @DPTR,A

MOV DPTR,#7FFFH MOV A, #00H

MOVX @DPTR,A

MOV DPTR,#9FFFH MOV A,#00H

MOVX @DPTR,A

LJMP L

G1:LJMP LOOP3 LOOP: JNB K2 ,LOOP1 JNB K3 ,LOOP2 JNB K4 ,LOOP3 MOV DPTR,#5FFFH MOV A,R2

MOVX @DPTR,A

MOV DPTR,#7FFFH MOV A,R3

MOVX @DPTR,A

MOV DPTR,#9FFFH MOV A,R4

MOVX @DPTR,A

MOV A,R4

RLC A

MOV R4,A

MOV A,R3

RLC A

MOV R3,A

MOV A,R2

RLC A

MOV R2,A

ACALL DEL_500mS AJMP LOOP

LOOP1: JNB K1 ,LOOP JNB K3 ,LOOP2 JNB K4 ,LOOP3 MOV DPTR,#5FFFH MOV A,R2

MOVX @DPTR,A

MOV DPTR,#7FFFH MOV A,R3

MOVX @DPTR,A

MOV DPTR,#9FFFH MOV A,R4

MOVX @DPTR,A

MOV A,R2

RRC A

MOV R2,A

MOV A,R3

RRC A

MOV R3,A

MOV A,R4

RRC A

MOV R4,A

LCALL DEL_500ms

LJMP LOOP1

LOOP2:JNB K1 ,LOOP

JNB K2 ,LOOP1

JNB K4 ,LOOP3

MOV DPTR,#5FFFH

MOV A,#0FFH

MOVX @DPTR,A

MOV DPTR,#7FFFH

MOV A, #0FFH

MOVX @DPTR,A

MOV DPTR,#9FFFH

MOV A,#0FFH

MOVX @DPTR,A

LJMP LOOP2

LOOP3: JNB K1 ,LOOP

JNB K2 ,LOOP1

JNB K3 ,LOOP2

MOV DPTR,#5FFFH

MOV A,#00H

MOVX @DPTR,A

MOV DPTR,#7FFFH

MOV A, #00H

MOVX @DPTR,A

MOV DPTR,#9FFFH

MOV A,#00H

MOVX @DPTR,A

LJMP LOOP3

DEL_15ms:MOV 40H,#30

D11: MOV 41H,#250

D22: DJNZ 41H,D22

DJNZ 40H,D11

RET

DEL_500mS:MOV R5,#4

D12: MOV R6,#100

D21: MOV R7,#250 DJNZ R7,$ DJNZ R6,D21 DJNZ R5,D12

RET

3 总结

3.1实验方案设计的可行性、有效性

与市面上大多数的彩灯相比,该种彩灯具有更好的灯光装饰效果,性价比更高,与普通的全硬件彩灯相比具有更好的经济效益。应用主控模块输出的控制信号去控制灯管内的板模块工作,使得产品性能稳定,便以安装容易操作。由于控制程序存储在89C51单片机的电可擦除Flash闪存EPROM中,如果用户需要更改系统的亮灯模式,无须改变系统硬件电路,只需修改其中程序即可,是一种很有发展前途的彩灯控制器。

由于国内生活水平不断提高,人民向往较佳的生活质素,对灯具灯饰也不断提出了新要求,近年内地灯饰市场有以下情况:

功能细分-人们要求灯具能符合不同场合,不同照光功能的需求日高,因此适用于各种使用要求的灯具逐应运而生,如学生灯、书写灯、应急灯、日光灯、霞光灯、晚餐灯以及不同高度的落地灯等新品叠出。

高技术化-由于电子技术被广泛用于灯具的制造,适应不同的电压,使可调节亮度的第三代照光灯具多起来。无频闪灯、3种波长色谱可调灯,放射远红外光灯等具备保护视力功能的灯具也开始推出市场。

多功能化-符合当前的消费时尚、集多种功能于一体的灯如床头兼作光敏电话自控灯、带八音盒台灯等,是近年另一需求特点。

节能环保-新推出的高科技无频闪书写灯,光线平稳并可节能源50%,这种灯具很受消费者的欢迎。环保是灯具生产技术的崭新主题,显示人们对居室生态环境的重视,这亦是未来家居照明的主要发展方向。

国际灯具行业现代化产品设计的潮流是:减少产品的尺寸,以减少材料的投入;现代社会对产品的开以制造最重要的着眼点是“经济”和“环境保护”。照明产品最好能体现这一潮流的是紧凑荧光灯,细管径,超细管径直管荧光灯和无汞的射频(RF)或微波(MW)激发的硫灯。紧凑型荧光灯直径和尺寸,它们的形式多种多样用途也十分广泛。一般来说,它们有5倍于白炽灯的光效和8倍于白炽灯的寿命。因此,它们是绿色照明工程的推荐产品,使用紧凑型荧光灯的灯具也日益多见。

3.2设计内容的实用性

与市面上大多数的彩灯相比,该种彩灯具有更好的灯光装饰效果,性价比更高,与普通的全硬件彩灯相比具有更好的经济效益。产品性能稳定,便以安装容易操作。由于控制程序存储在89C51单片机的电可擦除Flash闪存EPROM中,如果用户需要更改系统的亮灯模式,无须改变系统硬件电路,只需修改其中程序即可,是一种很有发展前途的彩灯控制器。

3.3心得

本次设计考虑到了我们大学所学的所有知识,既要求我们对知识掌握的牢固,又要求我们对知识能够灵活运用。从所涉及的知识面来说,它不仅要求我们运用所学过的知识还要求我们去查找,学习,借鉴其他科目或门类的知识。从时间上来说,这次设计的时间是最长的,因此我们有足够的时间去重新温习学过的知识,更有充足的时间去搜集学习一些我们没有涉猎过的知识。这样不仅锻炼了我们学习新知识的能力,同时也拓宽了我们的知识面。并且我们还有足够时间去修改我们的设计中的缺陷,这也是对我们将来走入社会独立完成一项课题的考验。

我与同组人员经过将近两周的努力,终于完成的小学期的单片机课程设计,过程虽是辛苦的,但从中我却学到了很多东西。首先巩固了课上学习的理论知识,对于计算机汇编语言的系统化整体化有了更深的认识。在编写程序的过程中遇到了很多困难经过我们两人反复修改不断修正最终才能得以执行。但最难的部分焊接实物部分,实验要求二十四个二极管是共阴极接法,我们先改了我们的程序,接下来焊接的过程中遇到的问题有,电解电容正负接反,开关使用方法不正确焊接虚焊等等,经过与同组人员讨论,向其他人请教和老师的指导才焊接成功。整个设计过程最大的收获就是意识到理论知识扎实的重要性,实践是建立在理论之上的,平时基础知识学的好的同学做起来就是娴熟。另外就是合作的重要性,每个人都有他的特长一个人难免有疏漏,只有大家共同努力才能顺利的完成任务。

在经过两周的不懈努力中,终于完成了设计任务。通过本设计锻炼了我们的实践能力,也是对以后我们实际工作能力的训练和考察过程。现在是一个高科技的时代,单片机的应用无处不在,这更坚定了我要学好单片机的决心。

通过本次课程设计,提高了我们多方面的能力。提高了我们的自学能力,在设计过程中我们查阅了很多图书馆的书籍;提高了理论联系实际的能力,吧自己所学应用到实验中,对所用到的硬件有了更加深刻的理解;同时我们更加明白团结合作、分工合作的重要性,提高了团结合作的能力与做事效率。提高了我们克服困难的信心与决心,刚开始学习单片机时毫无头绪到现在完成设计,我们明白了只要我们努力,没有克服不了的困难。

附录

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

简易彩灯控制器电路

第一章.系统的方案的设计 1.1课程设计的要求 1. 要求电路能够控制8个以上的彩灯。 2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。 1.2 课程设计的目的 1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。 2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。 3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。培养了我们的综合分析,解决问题的能力。 4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。 5.培养电子设计的兴趣,有助于我们进一步了解数电课程。 1.3设计思路 设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。 1.4 设计框图 图1-4

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 电路系统由四部分组成: 1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟; 2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟; 3)状态机电路由双D触发器74LS74组成; 4)移位显示器由双向移位寄存器74HC194组成。 1.5 工作原理分析 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。 1.6 设计方案 用移位寄存器来控制彩灯的左右移动,用触发器和计数器组成的周期性触发电路,而此电路中的CP脉冲用NE555定时器通过外接电路实现。此种电路的优点就是CP脉冲的频率稳定,彩灯花样变换的效果好,而且实现了自动控制,于预期控制。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

可编程彩灯控制器原理及设计

可编程彩灯控制器原理 及设计 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21 一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路;

2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。 三、优选设计方案 方案A: 根据设计要求,本系统由控制电路,编码发生电路和输出驱动电路等组成。其彩灯控制器的总体设计思想如下:

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

彩灯控制器电路图大全

彩灯控制器电路图大全 收录时间:2010-04-09 17:08:58 来源:未知作者:pic366 【大中小】点击:639 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-150所示。 电源电路由电阻器Rl-R3、电容器Cl-C3、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC、电阻器R4、电容器C4-C6、控制按钮S、二极管VDl、晶闸管VTl-VL4和彩灯HLl-H帖组成。 音频功率放大电路由晶体管VI、V2、可变电阻器RP、电容器C7和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2、R3、C3滤波后,为IC 提供4.7V直流工作电压。 IC通电工作后,其13-16脚(L1-L4端)输出变化的触发控制信号,通过控制VT1-VT4的工作状态来控制HLl-H饵的闪光效果。IC的4、5脚输出的音频信号经Vl、V2放大后,驱动BL发出音乐声。 S为灯光模式选择按钮,每按动一次S,即可改变一种灯光模式。 元器件选择 Rl选用lW金属膜电阻器;R2和R3选用1/2W金属膜电阻器或碳膜电阻器;R4选用l/4W 碳膜电阻器。 RP选用合成膜可变电阻器。 Cl选用耐压值为630V的CBB电容器;C2-C7均选用耐压值为l6V的铝电解电容器。 VDl选用lN5406型硅整流二极管;VD2选用1N4007型硅整流二极管。

VS选用lW、4.7V的硅稳压二极管。 VTl-VW均选用600V、lA的晶闸管,例如MCRlO0-8等型号。若每路彩灯的功率大于100W,则应选用电流容量大一些的晶闸管。 Vl选用S8050型硅NPN晶体管;V2选用S8550型硅PNP晶体管。 BL选用0.5W、8Ω的电动式扬声器。 S选用小型动合按钮。本例介绍的彩灯控制器,采用SH-818型专用彩灯控制集成电路(内储25首乐曲),能驱动4路彩灯,使之随音乐的节拍闪烁发光,并可变换多种灯光花样。 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-149所示。 电源电路由电阻器Rl、R2、电容器Cl、C2、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC(SH-818)、电阻器R3、二极管VDl、电容器C3、C4、晶闸管VTl-VW、控制按钮Sl、S2和彩灯HLl-HL4组成。 音频功率放大电路由晶体管Vl-V3、电阻器R4-R6、电容器C5、C6和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2滤波后,为lC和音频功率放大电路提供4.5-4.7V直流工作电压。 IC通电工作后,其7脚(音频信号输出端)输出的音频信号经音频功率放大电路放大后,驱动BL奏出乐曲声。IC的10-13脚(Ll-l4端)输出与音频信号同步变化的触发控制信号,通过控制VTl-VT4的工作状态来控制HLl-Hl4的闪光效果。 S1为灯光模式选择按钮,按动一下S1,可变换一种灯光模式;连续按动S1,可使7种 灯光模式循环变换。 S2为音量控制按钮,连续按动S2,可使BL的音量按"高→中→低→无→高……"循环变换。 改变C3和C4的容量,可以改变音质和音色。 元器件选择

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

循环彩灯电路设计报告

《数字电子技术基础》 课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言.................................................................. ....... 第一部分:设计方案设计........................................................ 1.1方案选 择:............................................................ 1.2功能设计及分 析....................................................... 1.2.1 时钟信号功能设 计............................................... 1.2.2 花型控制功能设 计............................................... 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说 明 (4) 第二部分:硬件调试总 结 (5) 2.1 元器件清单及说 明 (5) 2.2 硬件调 试 (9) 第三部分:总 结 (10) 3.1 设计小 结 (10) 3.2 心得体 会 (11) 参考文

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

简易彩灯控制电路课程设计报告

目录摘要1 1 设计要求1 1.1设计课题1 1.2主要容1 1.3技术要求1 2 系统组成及工作原理2 2.1系统组成框图2 2.2工作原理分析3 3 电路方案设计3 3.1电路图设计3 4 单元电路设计4 4.1时钟脉冲产生电路4 4.2分频电路的工作原理6 4.3状态机电路7 4.4移位输出电路8 4.5桥式整流电路9 4.6发光二级管9 结束语10 致11 参考文献12

附录13 附录1:元器件清单13 附录2:输出状态编码13 附录3:元件引脚图14 附录4:元件功能表15 附录5:总设计图17

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双D 触发器组成; (4)移位显示电路,由双向移位寄存器74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

1 设计要求 1.1设计课题 题目:简易彩灯控制器电路 1.2主要容 1.2.1 阅读相关文献。 1.2.2 学习电子制图软件的使用。 1.2.3 学会整理和总结设计文档报告。 1.2.4 学会如何查找器件手册及相关参数。 1.3 技术要求 1.3.1要求电路能够控制8个以上的彩灯。 1.3.2要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期:

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件,555定时器芯片,CD4518二-十进制同步计数器,74LS139二线-四线译码器,CD4072双四输入或门,74LS48七段数码管译码器,74LS74双D触发器, 各芯片数据手册等。 要求完成的主要任务: 1、以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、 2、 3、 4、 5、 6、 7、 8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列…….,如此周而复始,不断循环。 2、打开电源时,控制器可自动清零。 3、每个数字的一次显示时间相等,该时间在0.5s到2s范围内连续可调。 时间安排: 1、2013年5月16日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013年5月17日至2013年6月30日,方案选择和电路设计。 3、2013年7月1日至2013年7月4日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要 随着时代的发展,各式各样绚丽的霓虹彩灯出现在许多的场合,LED彩灯由于其丰富的灯光和色彩,低廉的成本及控制简单等特点而得到了广泛的运用。 本设计利用多种中小规模集成电路构成彩灯控制电路,主要分为时钟产生电路、循环控制电路、数列产生电路、显示电路四个部分。实现了自然数列、奇数数列、偶数数列、音乐符号数列地循环显示功能。时钟产生电路利用555定时器和D触发器构成周期为0.5s~2s连续可调的方波信号;循环控制电路利用CD4518计数器和74HC139译码器构成具有上电自动清零、可自动循环功能的电路;数列产生电路利用CD4518计数器的不同接法实现特定的数列的输出,从而通过逻辑门与数码显示管连接。 设计的思想是以最少的芯片数量,制作成体积小、功耗低,并且具有很好的可靠性和可扩展性。 关键词:循环控制数列集成电路数码管

相关文档
最新文档