数字系统与逻辑设计课程教学大纲

数字系统与逻辑设计课程教学大纲
数字系统与逻辑设计课程教学大纲

《数字系统与逻辑设计》课程教学大纲

课程名称:数字系统与逻辑设计课程代码:TELE1005

英文名称:Digital System and Logic Design

课程性质:专业必修课程学分/学时:3.5/72

开课学期:第3学期

适用专业:微电子科学与工程、电子科学与技术等

先修课程:电路分析

后续课程:

开课单位:电子信息学院课程负责人:黄旭

大纲执笔人:黄旭大纲审核人:X

一、课程性质和教学目标(在人才培养中的地位与性质及主要内容,指明学生需掌握知识与能力及其应达到的水平)

课程性质:本课程是通信工程、信息工程、电子信息工程等电子信息类专业的一门重要专业基础课,是通信工程专业的必修主干课。

教学目标:本课程主要讲授数字逻辑的基本知识及数字逻辑电路的分析方法和设计方法。通过理论教学与实验教学相结合,使学生能建立数字系统完整的总体概念,掌握数字逻辑电路的基本概念、基本分析方法和设计方法以及若干典型的中、小规模集成电路的功能及应用,具备一定的数字电路分析和设计能力,培养学生分析问题和解决问题的能力,为后续课程打下理论和技术基础。本课程的具体教学目标如下:

1、掌握逻辑代数和数字逻辑电路的基础知识,能将其用于实际工程问题的分析。【1.4】

2、具备对数字逻辑器件的特性和功能进行分析的能力,能够对组合逻辑电路和时序逻

辑电路进行描述和分析,能够分析典型脉冲电路、半导体存储器以及数模和模数转换电路的结构和原理,并针对实际工程问题和应用对象进行器件和参数的选择。【2.2】

3、具备对数字逻辑电路进行初步设计的能力,能运用基本原理和方法,根据设计要求完

成数字逻辑电路(组合逻辑电路、时序逻辑电路)的设计。【3.2】

4、通过实验教学,能够对数字逻辑电路的相关知识和方法进行研究与实验验证。【3.2】

二、课程目标与毕业要求的对应关系(明确本课程知识与能力重点符合标准哪几条毕业要求指标点)

2、问题分析2.2能运用数理和工程知识判断集成电路领域复杂工

程问题中的关键环节和参数。

教学目标2

3、设计/开发解决方案3.2能利用专业知识,根据给定的设计指标,设计开发

满足特定需求的集成电路并体现创新意识。

教学目标3、

4

三、课程教学内容及学时分配(含课程教学、自学、作业、讨论等内容和要求,指明重点内容和难点内容)(重点内容:★;难点内容:?)

1、数制和码制(2学时)(支撑课程目标1)

1.1概述

1.2几种常用的数制

1.3不同数制间的转换★

1.4二进制算术运算

1.5几种常用的编码★

?目标及要求:

1)通过概述的介绍,使得学生了解课程的学习要求,课程的性质和主要内容;了解数字量,数字信号和数字电路的定义,与模拟量的区别。

2)掌握常用数制及码制的概念。数制之间、码制之间的相互转换。了解二进制算数运算的特点及方法。

?作业内容:

强化对数制和码制的表示及转换方法。

?讨论内容:

数字量与模拟量,数字信号与模拟信号,数字电路与模拟电路的关系。数的表示方法。

?自学拓展:

查阅资料,了解数字电子技术的发展与应用。

2、逻辑代数基础(6学时)(支撑课程目标1)

2.1概述

2.2逻辑代数中的三种基本运算★

2.3逻辑代数的基本公式和常用公式★

2.4逻辑代数的基本定理

2.5逻辑函数及表示方法★?

2.6逻辑函数的化简方法★?

2.7具有无关项的逻辑函数及其化简★

?目标及要求:

1)通过物理层章节的学习,使得学生了解逻辑代数在课程学习中的重要性和基本概念;

2)掌握逻辑代数的基本公式、常用公式和重要定理;

3)掌握逻辑函数及其表示方法,各种表示方法之间的相互转换;建立逻辑问题与数字逻辑电路的知识关联;

4)掌握逻辑函数的化简方法;无关项的概念及应用。

?作业内容:

强化逻辑代数的基本运算关系;逻辑函数的表示方法及相互转换;逻辑函数的化简方法。

?讨论内容:

强调逻辑的概念,讨论逻辑代数与以前的数学知识的区别。

?自学拓展:

通过查阅相关资料,了解逻辑代数的应用。

3、门电路(9学时)(支撑课程目标1,2)

3.1概述

3.2半导体二极管门电路

3.3CMOS门电路★?

3.4TTL门电路★?

?目标及要求:

1)了解门电路的基本概念、半导体二极管和半导体三极管的开关特性;

2)掌握CMOS门电路和TTL门电路的电路结构、工作原理及特性;

3)掌握OC门、OD门、三态输出门、CMOS传输门的结构特点及应用。

?作业内容:

强化基本逻辑门的使用方法;强化CMOS门电路和TTL门电路相关参数的计算;强化CMOS门电路和TTL门电路的特性分析。

?讨论内容:

不同电路结构和功能的门电路的应用。

?自学拓展:

查阅资料,了解数字集成门电路的主要厂商、产品系列和特点,以及在实际工程问题中的应用。

4、组合逻辑电路(9学时)(支撑课程目标2,3)

4.1概述

4.2组合逻辑电路的分析方法和设计方法★?

4.3若干常用的组合逻辑电路★?

4.4组合逻辑电路中的竞争-冒险现象

?目标及要求:

1)了解组合逻辑电路的基本概念及表述方法;

2)掌握组合逻辑电路的分析方法和设计方法;

3)掌握常用组合逻辑器件的工作原理、功能和使用方法。

4)了解组合逻辑电路中的竞争-冒险现象

?作业内容:

强化组合逻辑电路的分析和设计;强化编码器、译码器、数据选择器、加法器和数值比较器等常用组合逻辑器件的使用和应用。

?讨论内容:

常用组合逻辑器件在应用方面的扩展。组合逻辑电路的实际应用。

?自学拓展:

查阅资料,了解数字集成电路的主要厂商、产品特点;学会查阅产品手册;了解组合逻辑电路在实际工程问题中的应用。

5、触发器(4学时)(支撑课程目标1,2)

5.1概述

5.2 SR锁存器★

5.3电平触发的触发器

5.4脉冲触发的触发器★?

5.5边沿触发的触发器★

5.6触发器的逻辑功能及其描述方法★

?目标及要求:

1)了解触发器的基本概念和特点;

2)掌握SR锁存器的电路结构、工作原理及特性;

3)掌握不同电路结构和触发方式的触发器的结构、特性及动作特点。

4)掌握触发器的逻辑功能及其描述方法

?作业内容:

强化触发器的功能分析;强化触发器的正确使用,给定条件下画出触发器的输出波形。

?自学拓展:

查阅资料,了解数字集成触发器电路的主要厂商、产品及特点。

6、时序逻辑电路(9学时)(支撑课程目标2,3)

6.1概述

6.2时序逻辑电路的分析方法★?

6.3若干常用的时序逻辑电路★?

6.4时序逻辑电路的设计方法★?

?目标及要求:

1)了解时序逻辑电路的基本概念及逻辑功能的描述;

2)掌握同步时序逻辑电路的分析方法;

3)掌握常用时序逻辑器件,特别是计数器的工作原理、功能和使用方法。

4)掌握同步时序逻辑电路的设计方法。

?作业内容:

强化同步时序逻辑电路的分析方法和设计方法;强化集成计数器的使用方法。

?讨论内容:

同步时序电路与异步时序电路的比较。时序逻辑电路的实际应用。

?自学拓展:

查阅资料,了解数字集成电路的主要厂商、产品特点;学会查阅产品手册。异步时序电路的分析和设计方法。了解数字集成器件的实际工程应用。

7、半导体存储器(3学时)(支撑课程目标2)

7.1概述

7.2只读存储器(ROM)

7.3随机存储器(RAM)

7.4存储器容量的扩展★

7.5用存储器实现组合逻辑函数★?

?目标及要求:

1)了解半导体存储器的基本概念、只读存储器和随机存储器的基本结构;

2)掌握存储器容量的扩展方法以及用存储器设计组合逻辑电路的方法。

?作业内容:

强化存储器容量的扩展方法;强化用存储器设计组合逻辑电路的方法。

?自学拓展:

查阅资料,了解不同类型的存储器的存储结构和存储方法以及在系统中的应用。

8、脉冲波形的产生和整形(6学时)(支撑课程目标2)

8.1概述

8.2施密特触发器★

8.3单稳态触发器★?

8.4多谐振荡器★?

8.5555定时器及其应用★?

?目标及要求:

1)了解脉冲波形产生的方法及描述脉冲波形特性的主要参数;

2)掌握施密特触发器、单稳态触发器、多谐振荡器的结构特点、工作原理、参数计算及应用;

3)掌握用555定时器构成的施密特触发器、单稳态触发器、多谐振荡器的电路结构、工作原理及特性。

?作业内容:

强化脉冲波形的产生与整形电路的分析及参数计算。

?讨论内容:

脉冲波形的产生与整形电路的实际应用。

?自学拓展:

查阅资料,了解脉冲波形的产生与整形电路在数字系统中的应用。

9、数-模和模-数转换(6学时)(支撑课程目标2)

9.1概述

9.2 D/A转换器★

9.3 A/D转换器★?

?作业内容:

强化A/D与D/A转换器的转换方法。

?目标及要求:

1)了解A/D与D/A转换的基本概念及在数字系统中的作用;

2)掌握A/D与D/A转换器的工作原理和转换方法;

3)了解A/D与D/A转换器的主要性能指标。

?自学拓展:

查阅资料,了解A/D与D/A转换器在数字系统中的实际应用。

10、实验(18学时)(支撑课程目标3,4)

实验内容:必开实验9学时,其余9学时在选开实验中选择。

四、教学方法

1、在课堂教学中,阐述数字逻辑电路的基本原理和分析设计方法,理论联系实际,培养学

生对实际工程问题的理解和应用能力;

2、采用传统教学方式与多媒体课件相结合进行教学;充分利用学校的课程录播视频和课程

中心网站资源辅助教学。

3、通过实验教学,理论联系实际,使学生加深对课程理论知识的理解和对工程应用的认识。

五、考核及成绩评定方式

考核方式:闭卷笔试(期末,期中),平时成绩(作业及出勤情况),实验报告

成绩评定方式:期末笔试成绩50%,期中笔试成绩20%,平时成绩10%,实验报告20%

教材及参考书目

教材:阎石主编《数字电子技术基础》(第五版)高等教育出版社2006汪一鸣、黄旭等《数字电子技术实验指导书》苏州大学出版社2005

参考书:

1、康华光主编《电子技术基础》数字部分(第五版)高等教育出版社2006

2、阎石王红《数字电子技术基础(第五版)教师手册》高等教育出版社2006

3、William Kleitz著陶国斌赵玉峰译《数字电子技术》科学出版社2008

4、马金明《数字系统与逻辑设计》北京航空航天大学出版社2007

5、朱定华《数字电路与逻辑设计》清华大学出版社2011

数字内容出版物设计教学大纲

《数字内容出版物设计》教学大纲 I.课程性质 设计师在出版物的版式设计过程中需要解决页面设置与管理、图文编排、输出以及电子出版物的设计等工作。InDesign 软件可以轻松的和Photoshop、Illustrator、GoLive等软件进行设计配合,既可以满足类似于普通书籍的教学需要,也可以满足类似与杂志、电子出版物等高端设计要求,使制作变为简单。设计师轻松掌握InDesign 软件的基本应用功能后,可以将大量的时间用于设计创作当中。 本教程的目的是从设计的角度向学员介绍了出版物理论知识、InDesign 软件使用、宣传画册设计制作和书籍设计制作的方法和过程。通过学习本书,学习者将会系统地了解和掌握版式设计的理论知识和操作技能,为从事设计工作打下良好的基础。 Ⅱ.教程的目的和任务 “InDesign出版物设计培训教程”的培训目的是: 1.了解版式设计基本原理。 2.了解出版物设计的基本流程。 3.InDesign软件的基本操作。 4.了解出版物设计在印刷过程中的输出环节。 5.简单了解电子出版物。 Ⅲ.学时安排 本课程共包含6部分内容,第1部分为基础知识概述,出版物设计的基础;第2部分为设计流程部分,需要掌握出版物设计的基本流程;第3-4部分是软件部分,解决InDesign软件的基本操作;第5-6部分是实例讲解分析部分则需要读者掌握出版物设计及印刷的项目过程,同时可以结合自己的实际项目进行设计。 本课程供授课72学时,其中1/3学时供上机操作,达到理论与实践相结合的目的。 以下各章学时安排仅供参考。 第1部分 8 学时 第2部分 8 学时 第3部分 16 学时 第4部分 16 学时 第5部分 12 学时 第6部分 12 学时 Ⅳ.考核目标与考核要求 第1部分出版物设计基础知识 1.了解出版物的发展历程 2.了解出版物的类别与特点 3.了解出版物的各种装订方式的特点 4.了解版式设计的基本要素 5.掌握一定的色彩原理

数字系统与逻辑设计课程设计课程

一、课程简介 《数字系统与逻辑设计课程设计》课程是信息网络类专业的一门专业基础课,是锻炼学生动手能力,巩固所学理论知识的重要实践性环节。通过本课程设计,要求学生能够针对需要解决的实际问题进行分析和设计,利用所学的理论知识,熟练地使用门电路、触发器、计数器、译码器、振荡及整形电路等,正确地设计出数字电子系统,以满足实际问题的需要。通过课程设计的全过程,加深学生对所学理论知识的理解,培养学生实际动手能力和排除故障的能力。训练学生的数字电子电路设计能力、应用数字电路元器件及集成芯片的能力和数字电路的调测能力,提高学生的数字小系统设计能力。 二、课程的主要作用及目的 使学生接受与“数字电子技术”相关的工程实践训练,培养学生综合运用数字电子技术知识独立分析以及解决实际问题的实践能力;促使学生将所学数字电路的基础理论知识融会贯通,初步掌握数字系统的分析思路和设计方法。 三、主要参考书 《电子技术基础》(数字部分第五版)康华光高等教育出版社 《数字系统设计与VerliogHDL 》,王金明,杨吉斌,电子工业出版社 《中外集成电路简明速查手册-TTL、CMOS》电子工程手册编委会等编,电子工业出版社 四、教学大纲 (一)课程设计的任务与目的 《数字系统与逻辑设计》课程设计是配合本课程课堂和实验教学的一个实践性教学环节。其目的是巩固所学知识,提高实验动手能力,加强综合应用能力,启发创新思维。其任务是让学生通过动手动脑进行大中型数字逻辑电路的设计、仿真、调试,巩固和应用所学的理论和实验技能;掌握应用EDA开发工具设计大中型数字电路系统的设计流程、仿真、检测技术直至下载到物理器件进行实际物理测试的能力;提高设计能力和实验技能,为以后进行毕业设计、电子电路的综合设计、研制电子产品等打下基础。 (二)本课程设计的要求 1、本课程设计是在学完本课程之后进行,时间为两周; 2、设计任务包括数字电路的大部分内容的实验课题,具有较大的综合性、难度和一定的趣味性; 3、设计内容包括必做部分和选做部分,在完成必做部分后,才能进行选做内容; 4、要求学生初步掌握EDA软件工具的使用方法,能采用从上到下的设计思 路进行大中型数字电路系统的设计工作;

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

数字系统与逻辑设计课程教学大纲

《数字系统与逻辑设计》课程教学大纲 课程名称:数字系统与逻辑设计课程代码:TELE1005 英文名称:Digital System and Logic Design 课程性质:专业必修课程学分/学时:3.5/72 开课学期:第3学期 适用专业:微电子科学与工程、电子科学与技术等 先修课程:电路分析 后续课程: 开课单位:电子信息学院课程负责人:黄旭 大纲执笔人:黄旭大纲审核人:X 一、课程性质和教学目标(在人才培养中的地位与性质及主要内容,指明学生需掌握知识与能力及其应达到的水平) 课程性质:本课程是通信工程、信息工程、电子信息工程等电子信息类专业的一门重要专业基础课,是通信工程专业的必修主干课。 教学目标:本课程主要讲授数字逻辑的基本知识及数字逻辑电路的分析方法和设计方法。通过理论教学与实验教学相结合,使学生能建立数字系统完整的总体概念,掌握数字逻辑电路的基本概念、基本分析方法和设计方法以及若干典型的中、小规模集成电路的功能及应用,具备一定的数字电路分析和设计能力,培养学生分析问题和解决问题的能力,为后续课程打下理论和技术基础。本课程的具体教学目标如下: 1、掌握逻辑代数和数字逻辑电路的基础知识,能将其用于实际工程问题的分析。【1.4】 2、具备对数字逻辑器件的特性和功能进行分析的能力,能够对组合逻辑电路和时序逻 辑电路进行描述和分析,能够分析典型脉冲电路、半导体存储器以及数模和模数转换电路的结构和原理,并针对实际工程问题和应用对象进行器件和参数的选择。【2.2】 3、具备对数字逻辑电路进行初步设计的能力,能运用基本原理和方法,根据设计要求完 成数字逻辑电路(组合逻辑电路、时序逻辑电路)的设计。【3.2】 4、通过实验教学,能够对数字逻辑电路的相关知识和方法进行研究与实验验证。【3.2】 二、课程目标与毕业要求的对应关系(明确本课程知识与能力重点符合标准哪几条毕业要求指标点)

数字电路与数字逻辑练习题

一、填空 1. 数制变换: a) 将十进制数175转换成二进制数为_____ 、十六进制为_____ 、八进制为 __ 。 b) 二进制数(111010010)2对应的十六进制数是_____ 、八进制为—、十进制为 c) ( 16.52)8=( )2 =( )16= ( ) 10 d) ( 17)10=( ) 2 =( )16=( )8 2.编码: a) ( 1000)自然二进制码=( ) 余3码,(110100)2=( )BCD。 b) ( 15.5)10=( )8421BCH( )余3 BCD。 c) ( 38) 10用8421BCD码表示为 ____ 。 d) 二进制数(-100000)的原码为 _、补码为___。 e) [X]反=10111,则[X]补=—,[X]原= ___________ ,[X]真值= 。 g) [X]补=10110,则[X]反=—,[X]原= __ ,[X]真值= _ 。 3. 一种进位计数包含两个基本因素:______ 和____ 。 4. 常见的BCD编码中,有权码有____ 、___ ,无权码有___ 、___ 。 5. 如采用奇偶校验传送的数据部分为0111001,则所加奇校验位应为_____ ,偶校验位 应为_____ 。 6. 逻辑代数的基本运算有:___、___、___。 7. 当决定一事件的条件中,只要具备一个条件,事件就会发生,称这种关系为 逻辑关系,或称为关系。 8. ______________________________________________________ 真值表如下表,写出F1、F2、F3、F4的逻辑关系表达式______________________________ 9. _________________________________________ 逻辑函数F = A + AB以最小项形式表示为__________________________________________ ,可化简为______ 10.逻辑函数F =

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字集成电路教学大纲

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

数字电路与逻辑设计试题四及答案

《数字集成电路基础》试题四 (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、 填空题(共30分) 1. PN 结具有单向导电性。正向偏置时,多子以 __________________运动为主,形成正向电流;反向偏置时,少子____________________运动形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、_____、_____。 3. 除去高、低电平两种输出状态外,三态门的第三态输出称为________状态。 4. 十进制数238转换成二进制数是______;十六进制数是_______。 5. 组合逻辑电路不存在输出到输入的________通路,因此其输出状态不影响输入状态。 6. 对于上升沿触发的D 触发器,它的次态仅取决于CP ______沿到达时________的状态。 7. 同步RS 触发器的特性方程为Q n+1=____________;约束方程为_________。 8. 下图所示电路中,Y 1 =__________;Y 2 =____________;Y 3 二、选择题(共 15分) B V C C Y 1

1. 下列函数中,是最小项表达式形式的是_________ A. Y=A+BC B. Y 2 =ABC+ACD C. Y=AB C+ABC D. Y=A BC+ABC 2. 对于四位二进制译码器,其相应的输出端共有________ A . 4个 B. 16个 C. 8个 D. 10个 3.用8421码表示的十进制数45,可以写成__________ A . 45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.属于组合逻辑电路的是___________ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.某计数器的状态转换图如下,其计数的容量为______ A . 八 B. 五 C. 四 D. 三 三、化简下列逻辑函数,写出最简与或表达式(共15分) 1. Y 1=AB +AC +A BC 2. Y 2=Σm (0,2,3,4,5,7) 3. Y 3见图

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

数字电路与数字逻辑练习题

《数字电路与数字逻辑》练习题一 一、填空 1.将下列二进制数转为十进制数 (1001011)B = ( )D (11.011)B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+122)=( )真值 = ( )原码 =( )反码 = ( )补码 3.把下列4个不同数制的数D 、(110000)B 、(17A)H 、(67)O ( 按从大到小的 次 序 排 列 ( ) > ( )>( )>( ) 。将下列各式变换成最简与或式的形式 =+B AB ( ) =+AB A ( ) =++BC C A AB ( ) 4.将下列二进制数转为十进制数 (101000)B = ( )D (11.0101)B = ( )D 5.将下列十进制数转为二进制数,八进制数和十六进制数 (0.8125)= ( )B = ( )O = ( )H (254.25)= ( )B = ( )O = ( )H 6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=( )真值 = ( )原码 =( )反码 = ( )补码 (—42)=( )真值 = ( )原码 =( )反码 = ( )补码 7.逻辑函数C A CD AB F ++=的对偶函数F '是__________________________;其反函数F 是_________________________。 8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项

=+j i M M ___________。 9.()10=(_________)2=(_________)16。 10.n 个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。 11.将下列二进制数转为十进制数 (1010001)B = ( )D (11.101)B = ( )D 12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+)=( )真值 = ( )原码 =( )反码 = ( )补码 13.把下列4个不同数制的数D 、(27A)H 、(10110)B 、(67)O 按从大到小的次序排列( )>( )>( )>( ) 。 14.对于D 触发器,欲使Qn+1=Qn ,输入D=( ),对于T 触发器,欲使Qn+1=Qn ,输入T=( ) 15.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 16.对32个地址进行译码,需要( )片74138译码器。 17.存储器起始地址为全0,256K*32的存储系统的最高地址为( )。 18.将下列各式变换成最简与或式的形式 ( ) ( ) ( ) 19.五级触发器的进位模数最大为( )进制。 20.十进制数()10转换成十六进制数是( ),转换成二进制数是( ),转换成八进制数是( ),转换成8421BCD 码为( )。 21.将二进制1100110转换成余3码为( ),转换成格雷码为( )。 22.设真值X= —0101,则X 的原码为( ),反码为( ),补码为( )。 23.卡诺图是( )的一种特殊形式。利用卡诺图法花剑逻辑函数比( )法更容易得到简化的逻辑函数表达式。 24.函数L=AC+BC 的对偶式为:( )。 25.一个1024*16位的ROM 芯片,地址线为( )位,数据线为( )位。 26.对于JK 触发器,若J=K ,可完成( )触发器的逻辑功能。 27.组合逻辑电路中部包含存储信号的( )元件,它一般是由各种( )组合而成的。 28.对64个地址进行译码,需要( )片74138译码器。 +AC 化成最小项的形式为( )。 30.将变换成或非的形式为( )。 31.数制转换 10=( )2 2=( )10 32.将下列有符号的十进制数转换成相应的二进制数真值﹑原码﹑反码和补码 (+11/32)=( )真值=( )原码 =( )反码=( )补码

《数字逻辑》课程教学活动大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。

三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑

五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述 1.2数制及其转换 1.3二-十进制代码(BCD代码) 1.4算术运算与逻辑运算 第2章逻辑函数及其简化(支撑课程目标1、2) 重点内容:逻辑代数的各种表达形式,逻辑代数的三个规则和常用公式,逻辑代数的化简方法,卡诺图法。 难点内容:简单逻辑命题建立逻辑函数的方法。

《数字信号处理》课程教学大纲

《数字信号处理》课程教学大纲 (10级) 编号:40023600 英文名称:Digital Signal Processing 适用专业:通信工程;电子信息工程 责任教学单位:电子工程系通信工程教研室 总学时:56 学分:3.5 考核形式:考试 课程类别:专业基础课 修读方式:必修 教学目的:数字信号处理是通信工程、电子信息工程专业的一门专业基础课,通过本课程的学习使学生建立数字信号处理的基本概念、掌握数字信号处理的基本理论、基本分析方法和数字滤波器的基本设计方法,具有初步的算法分析和运用MATLAB编程的能力,了解数字信号处理的新方法和新技术。为学习后续专业课程和从事数字信号处理方面的研究工作打下基础。 主要教学内容及要求: 1.绪论 了解数字信号处理的特点,应用领域,发展概况和发展局势。 2.时域离散信号和时域离散系统 了解连续信号、时域离散信号和数字信号的定义和相互关系;掌握序列的表示、典型序列、序列的基本运算;掌握时域离散系统及其性质,掌握时域离散系统的时域分析,掌握采样定理、连续信号与离散信号的频谱关系。 3.时域离散信号和系统的频域分析 掌握序列的傅里叶变换(FT)及其性质;掌握序列的Z变换(ZT) 、Z变换的主要性质;掌握离散系统的频域分析;了解梳状滤波器,最小相位系统。 4.离散傅里叶变换(DFT) 掌握离散傅里叶变换(DFT)的定义,掌握DFT、ZT、FT、DFS之间的关系;掌握DFT的性质;掌握频域采样;掌握DFT的应用、用DFT计算线性卷积、用DFT分析信号频谱。 5.快速傅里叶变换(FFT) 熟悉DFT的计算问题及改进途经;掌握DIT-FFT算法及其编程思想;掌握IDFT的高效算法。 6.数字滤波网络 了解滤波器结构的基本概念与分类;掌握IIR-DF网络结构(直接型,级联型,并联型);掌握FIR-DF网络结构(直接型,线性相位型,级联型,频率采样型,快速卷积型)。 7.无限冲激响应(IIR)数字滤波器设计 熟悉滤波的概念、滤波器的分类及模拟和数字滤波器的技术指标;熟悉模拟滤波器的设计;掌握用冲激响应不变法设计IIR数字滤波器;掌握用双线性变换法设计IIR数字滤波器。 8.有限冲激响应(FIR)数字滤波器设计 熟悉线性相位FIR数字滤波器的特点;掌握FIR数字滤波器的窗函数设计法;掌握FIR数字滤波器的频率抽样设计法;了解FIR数字滤波器的切比雪夫最佳一致逼近设计法。 本课程与其他课程的联系与分工:先修课程:信号与系统,复变函数与积分变换,数字电路;后续课程有:DSP原理及应用,语音信号处理,数字图像处理等。

数字电路与逻辑设计复习题

数字电路与逻辑设计复习题 一、填空题 1.将十进制数转换成等值的二进制数、八进制数、十六进制数。 (23.375)10=( )2=( )8=( )16 2.十进制数74的余3BCD码是。 3.逻辑函数 BC C D B A+ + +) )( (的对偶式和反演式(用反演规则)分别为: 对偶式:; 反演式:; 4.若采用奇较验方式,信息码为1000101的校验码为0 。 5.若采用偶较验方式,信息码1101101校验位为 1 。 6.钟控RS触发器的特征方程是Sd+!Rd*Qn ,约束条件是(!Sd)=(!Rd) 。7.同步RS触发器的特性方程为Q n+1=S+!R*Qn_____;约束方程为RS=0。 8.四位同步二进制加法计数器的初始状态为Q3Q2Q1Q0=1101,经过3个CP时钟脉冲作用后,它的状态为Q3Q2Q1Q0= 。 9.触发器有个稳态,存储8位二进制信息要个触发器。 10.四位同步二进制减法计数器的初始状态为Q3Q2Q1Q0=1101,经过5个CP时钟脉冲作用后,它的状态为Q3Q2Q1Q0= 1000 。 11.OC门称为集电极开路门,多个OC门输出端并联到一起可实现线与功能12.三态门的三种可能的输出状态是高电平、低电平、高阻态。13.具有16位地址码可同时存取8位数据的RAM集成片,其存储容量为64K*8位。14.具有13位地址码可同时存取8位数据的RAM集成片HM6264,其存储容量为8K*8位。 16.(2008)10=(0101 0011 00111011 )余3BCD。 17.若(,,)(0,1,3,5,7) m F A B C=∑,则:(F = !A*!B+C) *(,,) F A B C=1,3,5 ,(,,) F A B C=2,4,6 。 18数字电路按照是否有记忆功能通常可分为组合逻辑电路和时序逻辑电路两类。

数字电路与数字逻辑》期末考试及答案

《数字电路与数字逻辑》 期末考试试卷 考生注意:1.本试卷共有五道大题,满分100分。 2.考试时间90分钟。 3.卷面整洁,字迹工整。 一、填空题(每小题1分,共20分) 1.将下列二进制数转为十进制数 (1010001)B = ( )D ( 11 . 101 ) B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 ( +254.25 ( ( )原码 =( ) 反码 = ( )补码 3.把下列4个不同数制的数(76.125)D 、 (27A)H 、 (10110)B 、(67)O 按从大到小的次序排列( )> ( )>( )>( ) 。 4.对于D 触发器,欲使Q n+1=Q n , 输入D=( ),对于T 触发器,欲使Q n+1=Q n ,输入T=( ) 5.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 6. 对32个地址进行译码,需要 译码器。 0,256K*32 统的最高地址为 8.将下列各式变换成最简与或式的 形式 =+B A ( ) =+B A A ( ) = ++C B C A AB ( ) 9.五级触发器的进位模数最大为( )进制。 二、组合电路设计题(每空10分,共20分) 1.用八选一数据选择器74LS151实现逻 辑函数 AC BC AB C B A L ++=),,( (10分) 2、用74LS138设计一个电路 实现函数F = AB+ B C (提示:在74LS138的示意图上直接连线即可)(10分)

三、组合电路分析题(共10分) 已知逻辑电路如下图所示,分析该电路 的功能。 四、分析题(共24分) 1、分析如下的时序逻辑电路图,画出其 状态表和状态图,并画出Q1,Q2 的波形图,Q1Q2初态为00。(14 分) 2、电路如图所示,要求写出它们的输 出函数表达式,化简,并说出它们的逻 辑功能。(10分) 五、设计题(共26分) 1.用JK触发器设计一个“111”序列检 测器,允许重复,要求用一个输出信号 来表示检测结果。(16分) 2、试用74161设计一个同步十进制计数 器,要求采用两种不同的方法。(10分) 《数字电路与数字逻辑》期末 考试答案 一、填空 1、81, 3.625 3、(27A)H>(76.125)D>(67)O>(10110) B 4、Q n, 1 5、9, 8 6、4 7、(3FFF)H 8、B A A+ B AB+ C 9、32进制 二、组合逻辑设计题 1、(5分) F=C B A BC A C AB ABC+ + +=m3d3+ m5d5+m6d6+m7d7 (5分)则d3 d5 d6 d7为1,其他为0,画图略。 2、F= Y3 Y4Y5 Y7 三、组合逻辑分析题。 (5分)F=C B A⊕ ⊕ (5分)异或功能 四、时序电路 1、状态方程:(4分) Q Q Q Q Q Q D Q n n n n n n n K J 2 1 2 2 1 2 1 1 1 1 = + = = = + + 画波形图(2分) 2、L= = (4分); C 1 =AB+(A+B)C(4分); 全加器(2分) 五、 1、设计题

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

相关文档
最新文档