哈工大数电实验

哈工大数电实验
哈工大数电实验

姓名班级学号

实验日期节次教师签字成绩

可调频双花型彩灯控制器

1.实验目的

在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。

2.总体设计方案或技术路线

由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。

3.实验电路图

4.仪器设备名称、型号和技术指标

实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2

5.理论分析或仿真分析结果

电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的

D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门

9 10000001

6.详细实验步骤及实验测量数据记录

(1)选择好芯片,电阻电容等原件,并测试导线的通断。

(2)按照电路图在实验箱上连接电路图。

(3)打开电源进行实验,观察彩灯花形变化。

(4)调整R B观察彩灯变化频率是否改变

(5)改变74LS138芯片的A端电平,观察花型变化

7.实验结论

8.实验中出现的问题及解决对策

9.本次实验的收获和体会、对电路实验室的意见或建议

10.参考文献

【1】王淑娟主编.数字电路技术基础.高等教育出版社,2011.6.

【2】廉玉欣主编.电子技术基础实验教程.机械工业出版社,2010.2.

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

操作系统实验报告哈工大

计算机操作系统课程实验报告 专业信息管理与信息系统 班级 1203601 学号 120360117 姓名乐云 指导教师周学权

计算机操作系统课程实验报告 专业信息管理与信息系统 班级 1203601 学号 120360114 姓名郭鑫 指导教师周学权

操作系统实验 实验1 使用虚拟机安装系统 4学时 【实验目的】 1.了解虚拟机软件的使用。 2.了解使用虚拟机安装Windows及Ubuntu操作系统。 【实验内容】 1. 安装虚拟机软件VirtualBox。 2. 配置VirtualBox环境安装WindowsXP,并在虚拟机中启动windowsXP。 3. 配置VirtualBox环境安装Ubuntu 10.10,并在虚拟机中启动Ubuntu。【实验环境】 VirtualBox4.0 Windows XP Ubuntu 8.04 【实验过程】 一、创建虚拟机 首先运行VirtualBox,单击左上角的“新建”。 单击下一步。

出现如下图的界面,在名称后输入自己起的名字,如test 选择自己想要安装的系统类型和版本,本次试验是安装windows xp系统 设置完成后,单击下一步。。 接下来是设置虚拟机的内存大小,本次实验操作的计算机内存为4GB,所以我选择分配给我的虚拟机的内存为512MB,然后单击下一步。 接着创建虚拟硬盘,选择创建新的虚拟硬盘,单击下一步。

选择虚拟硬盘的类型,默认选择了VDI类型,单击下一步。 接下来选择为动态扩展类型,因为计算机的存储空间不大。单击下一步。 动态扩展:如果你为你的虚拟磁盘分配的是10G空间,虚拟磁盘占用真实磁盘空间的范围就为0~10G。 固定大小:如果你为你的虚拟磁盘分配的是10G空间,虚拟磁盘占用真实磁盘空间永远不是10G,不管虚拟磁盘空间是否被全部使用。 选择虚拟机在本地磁盘中的位置和大小,单击下一步。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大1系自动控制原理大作业

哈工大自动控制原理大作业

一、设计任务: 在新材料的分析测试工作中,需要在较宽的参数范围内真实再现材料的实际 工作环境。从控制系统的角度出发,可以认为,材料分析设备是一个能准确 跟踪参考输入的伺服系统。该系统的框图如图所示。 7. 继续参考题6给出的系统,试设计一个合适的超前校正网络,使系统的相角裕度为50,调节时间小于4秒(按2%准则),稳态速度误差常数为2秒-1。 二、设计过程: 原传递函数 ()042 (1)(2)(1)(1)2 G s s s s s s s = = ++++ 转折频率为11ω=和22ω=,剪切频率122c ωωω==,画出Bode 图如下:

系统的相位裕度2 18090arctan 2arctan 02 γ=---= 为了满足相位裕度50γ≥ 的条件,需要对系统进行超前补偿。由于要求稳态速度误差常数为2秒-1,所以放大系数K=2,即K 保持不变。 取50γ= ,11 1.3sin sin 50r M γ= == 2 2 1.5(1) 2.5(1)s r r c t M M πω??= +-+-??且要求s t 小于四秒。求得 2.1c ω≥,Mr Mr c 12-≤ωω知50.02≤ω。所以根据设计要求50.02≤ω在Bode 图上进行设计, 取2.02=ω(为了计算方便)求得串联超前校正环节传递函数110 12.0)(++=s s s Gc 并且作图如下:

补偿之后的系统传递函数为) 110 )(12)(1()12.0( 2)()()(++++==s s s s s s Go s Gc s G 相位裕度 18090arctan 22.5arctan 4.5arctan 2.25arctan 0.4150.21γ=-+---= 1 1.3sin 50.21 r M = = ,22 1.5(1) 2.5(1) 3.82s r r c t M M s πω??=+-+-=?? 均满足设计条件。 2、计算机辅助设计: (1)校正前伯德图

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

哈工大研究生选修课系统辨识与自适应控制考点

系统辨识考点 1、辨识定义: 是在输入和输出数据的基础上,从一组给定的模型类中,确定一个与所测系统等价的模型。 2、系统辨识步骤 辨识目的及 先验知识 试验设计 输入输出数据 采集、处理 模型结构选取 与辨识 模型参数辨识 模型验证 合格? 最终模型Y N 3、递推最小二乘辨识模型 4、广义最小二乘和增广最小二乘的区别 广义最小二乘法是对系统过程模型的输入、输出和过程噪声加以变换(滤波)变成一般最小二乘法的标准格式,再用一般最小二乘法()1111???T N N N N N N y ++++=+-θθK φθ()111111T N N N N N N -++++=+K P φφP φ111T N N N N N +++=-P P K φP

对系统的参数进行估计。 增广矩阵法就是使系统模型变成符合一般最小二乘法的标准格式的,并将模型参数和噪声模型参数同时估计出来的方法。 增广矩阵法用近似估计的噪声序列代替白噪声序列。这和广义最小二乘法的不同点在于:后者噪声模型参数的估计和系统模型参数的估计是交替地进行的。 5、数据饱和的原因和解决方法 ① 参数缓慢变化(易产生数据饱和现象) 解决方法:渐消记忆最小二乘、限定记忆最小二乘 ② 参数突变但不频繁????? 6、自适应系统定义、分类 自适应控制系统是一种特殊形式的非线性控制系统。系统本身的特性(结构和参数)、环境及干扰的特性存在各种不确定性。在系统运行期间,系统自身能在线地积累与实行有效控制有关的信息,并修正系统结构的有关参数和控制作用,使系统处于所要求的(接近最优的)状态。 ?????????????????????????????????增益列表补偿法最小方差控制算法预测控制算法随机自适应控制系统极点配置控制算法控制算法参数最优化设计方法模型参考自适应控制系统李亚普诺夫稳定性理论设计方法波波夫超稳定性理论设计方法PID

哈工大数字逻辑与数字电子试题

2003春季学期数字电子期末试题(远程) 教学站 班级 姓名 一、 按要求回答下列问题: 1. 用代数法化简 (1) )()(1C B A C B A C B A P ++?++?++= (2) P 2=AB +C B C A + 2. 对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X+Y=X+Z ,则Y=Z ;( ) (2) 若XY=XZ ,则Y=Z ;( ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;( ) 3. 函数式F=C B A ⊕⊕写成最小项之和的形式,结果应为m ∑( )。 4. 用卡诺图化简: D C A C B A D C D C A ABD ABC F +++++=

5填空: (1) 由TTL 门组成的电路如图1所示,已知它们的输入短路电流为I is =1.6mA ,高电平输入漏电流I iH =40μA 。试问:当A=B=1时,G 1的(拉,灌) 电流为 mA ;A=0时,G 1的(拉,灌) 电流为 mA 。 3 G A B 图1 (2) TTL 门电路输入端悬空时,应视为 ;(高电平,低电平,不定)此时如用万用表测量其电压,读数约为 (3.5V ,0V ,1.4V )。 (3) 集电极开路门(OC 门)在使用时须在 之间接一电阻(输出与地,输出与输入,输出与电源)。 (4)8位D/A 转换器当输入数字量只有最高位为高电平时输出电压为5V ,若只有最低位为高电平,则输出电压为 。 (5)就逐次逼近型和双积分型两种A/D 转换器而言, 抗干扰能力强; 转换速度快。 (6)半导体存储器按功能分有 和 两种。 (7)某EPROM 有8数据线,13位地址线,则其存储容量为 。 6. 由TTL 门组成的电路如图2所示,G 1和G 2为三态门,分别写出R=100Ω和R =100k Ω时输出Y 的表达式。 X G 1 图2

哈工大工程系统建模与仿真实验报告

研究生学位课 《工程系统建模与仿真》实验报告 (2017 年秋季学期) 姓名 学号 班级研一 专业机械电子 报告提交日期 哈尔滨工业大学

报告要求 1.实验报告统一用该模板撰写: (1)实验名称 (2)同组成员(必须写) (3)实验器材 (4)实验原理 (5)实验过程 (6)实验结果及分析 2.正文格式:小四号字体,行距单倍行距; 3.用A4纸单面打印;左侧装订; 4.报告需同时提交打印稿和电子文档进行存档,电子文档请发送至: xxx@https://www.360docs.net/doc/2015081523.html,。 5.此页不得删除。 评语: 教师签名: 年月日

实验一报告正文 一、 实验名称 TH -I 型智能转动惯量实验 二、 同组成员(必须写) 17S 三、 实验器材(简单列出) 1. 扭摆及几种有规则的待测转动惯量的物体 2. 转动惯量测试仪 3. 数字式电子台秤 4. 游标卡尺 四、 实验原理(简洁) 将物体在水平面内转过一角度θ后,在弹簧的恢复力矩作用下物体就开始绕垂直轴作往返扭转运动。 根据虎克定律,弹簧受扭转而产生的恢复力矩M 与所转过的角度θ成正比,即 M =-K θ (1) 式中,K 为弹簧的扭转常数,根据转动定律 M =I β 式中,I 为物体绕转轴的转动惯量,β为 角加速度,由上式得 M I β= (2) 令2I K ω= ,忽略轴承的磨擦阻力矩,由式(1)、(2)得 222d K dt I θβθωθ==-=- 上述方程表示扭摆运动具有角简谐振动的特性,角加速度与角位移成正比, 且方向相反。此方程的解为: c o s () A t θωφ=+ 式中,A 为谐振动的角振幅,φ为初相位角,ω为角速度,此谐振动周期为 22T π ω = = (3) 由式(3)可知,只要实验测得物体扭摆的摆动周期,并在I 和K 中任何一个量已知时即可计算出另一个量。 五、 实验过程(简洁) 1. 用游标卡尺测出实心塑料圆柱体的外径D 1、空心金属圆筒的内、外径D 内、 D 外、木球直径D 直、金属细杆长度L ;用数字式电子秤测出各物体质量m (各测量3次求平均值)。

大一数字逻辑大作业

大一数字逻辑大作业 ——乒乓球比赛模拟机的设计 题目:乒乓球比赛模拟机的设计 院系:软件学院 专业年级: 14 姓名与学号:王峥 1143710510 孙一铀 1143710513 周擎阳 1143710312 指导老师:张彦航 2015年6月18日

目录 1 绪论 1.1设计目的 (3) 1.2设计要求 (3) 2 电路组成及工作原理 2.1系统逻辑模型 (4) 2.1.1系统模块需求 (4) 2.1.2组员分工 (4) 2.2系统模块结构 (4) 2.2.1全局控制模块 (4) 2.2.1.1连续四脉冲发生单元 (5) 2.2.1.2数据初始化单元 (6) 2.2.1.3倒计时显像单元 (7) 2.2.1.4 开球信号产生单元 (8) 2.2.1.5 模块总体设计展示 (9) 2.2.1.4.1逻辑图 (9) 2.2.1.4.1波形图 (10) 2.2.2中央控制模块 (10) 2.2.2.1程序综述 (10) 2.2.2.2 代码实现 (11) 2.2.2.3实现效果 (13) 2.2.3计数模块 (14) 2.2.3.1局比分计数单元 (14) 2.2.3.2大比分计数单元 (15) 2.2.3.3数据清零单元 (16) 2.2.3.4信息反馈单元 (17) 2.2.3.5显像管显示单元 (18) 3 调试过程 3.1全局控制模块 (20) 3.2中央控制模块 (24) 3.3计数模块 (26) 4 设计结论 (27) 5 设计心得与总结 (28) 附录一:总体器件表及相关器件的功能表、管脚分布 (29) 附录二:总体设计图 (30) 附录三:仿真结果 (32) 附录四:工作说明 (32) 参考文献 (32)

哈工大 数电自主设计实验 数字时钟

实验报告 课程名称:数字电子技术基础 实验题目:设计性实验----数字时钟院系:航天学院 专业: 班级: 姓名: 学号: 哈尔滨工业大学

摘要 数字时钟最主要的功能是计时,显示具体的时间,即显示当前的时和分,它还包含一些附加的功能,时间不准时的较正、复位数字时钟等功能。数字时钟主要是时、分的显示,众所周知,一天有二十四小时,一小时有六十分钟,一分钟有六十秒,因此数字时钟的核心部件就是计数器,主要的是二十四进制和六十进制的计数器。计数器有很多种类,74LS192是一种同步十进制可逆计数器,它具有双时钟输入,并且具有清零和置数等功能,通过它可以设计出不同进制的计时器,可以用来像数字时钟一样显示时、分,通过引脚的不同的功能,可以设计出不同的附加功能,时钟校对、复位以及一些更加复杂的功能。在实验中,用555芯片连接输出为60秒的多谐振荡器用于时钟的分脉冲,用74LS192(十进制计数器)、74LS00(与非门芯片)连接成60和24进制的计数器,再通过数码管显示出来,从而构成了数字时钟。 关键字:数字时钟,数码管,计数,74LS192,555

目录 一.实验目的 (3) 二.总体设计方案或技术路线 (3) 三.实验电路图 (6) 四. 仪器设备名称、型号 (6) 五.理论分析或仿真分析结果 (8) 六.详细实验步骤及实验结果数据记录 (9) 七.实验结论 (9) 八.实验中出现的问题及解决对策 (9) 九.本次实验的收获和体会、对电路实验室的意见或建议 (9) 十.参考文献 (10)

数字时钟 一.实验目的 1、掌握不同进制计数器的设计方法,学会运用集成芯片来达到不同进制计数器的设计; 2、通过附加功能的设置来掌握计数器处于非计时状态的工作情况。 二.总体设计方案或技术路线 1、时钟信号的来源: 为了使时钟显示的时间与生活中的时间周期频率一致,利用了555芯片组成了一个多谐振荡器,可以产生一个周期为60秒的脉冲信号输入给分的个位192芯片的时钟端子。 用555定时器构成的多谐振荡器如下图所示。1R 、2R 和C 是外接定时元件,555定时器的低触发端TL (2脚)和高触发端TH (6脚)连接起来接c u ,放电管的集电极(7脚)接1R 和2R 的连接点,1R 的另一端接电源。 与用555定时器构成的单稳态触发器相比较,由555定时器构成的多谐振荡器是利用电容器的充放电来代替外加触发信号,所以电容电压c u 应该在两个阈值之间按指数规律变化。充电回路是1R 、2R 和C ,放电回路是2R 和C 。 令初始时刻c u =0V ,o u 为高电平,G3门输出低电平,放电管VT 截止,电源 CC V 通过1R 、2R 对电容C 充电,电容电压c u 按指数规律增加。当c u > 2 3 CC V 时,o u 变为低电平,G3们输出高电平,放电管VT 饱和导通,c u 通过2R 和放电管 VT 放电,c u 按指数规律降低。当c u <1 3 CC V 时,o u 变为高电平,G3门输出低电 平,放电管VT 截止,电源CC V 通过1R 、2R 对电容C 充电,c u 按指数规律增加。 当c u > 2 3CC V 时,输出o u 又变为低电平。如此周而复始地电容充电和放电,就产生了振荡,其输入、输出电压波形如下图。

哈工大电工大作业(江湖青衫版)

H a r b i n I n s t i t u t e o f T e c h n o l o g y 电工大作业报告书 报告题目:电子拔河游戏机 院系:机电工程学院 班级:1108*** 姓名:江湖青衫 学号:11108***** 哈尔滨工业大学机电工程学院

电子拔河游戏机 1.实验目的 1)掌握和熟悉74LS175触发器、74LS138译码器、74LS161计数器、74LS192计数器的逻辑功能及使用方法; 2)掌握非门的基本逻辑功能及使用方法; 3)通过对功能电路的自主设计,理解电路基本设计过程与设计思路; 4)通过实验,提高动手能力提升创新技能。 2.总体设计方案或技术路线 1)电子拔河游戏机是一种二参与的游戏电路,参与者包括了竞赛双方。电路上有一排8个LED发光二极管表示拔河的“电子绳”,甲乙双方通过按钮开关使LED发光管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜。每局比赛结束后,电路对双方获胜次数进行计数,以双方胜局数目判定最终胜负。用1键、2键表示开关按钮,每次比赛前都要进行清零,并使按钮开关复位。 2)抢答器电路设计 选用74LS175触发器芯片。用两个按钮开关表示输入,当有一方摁下时,另一方再摁不起作用,并将输出Q1、Q2连接到下一级编码电路。 3)译码电路 选用3-8线74LS138译码器。译码器的输出Q0~Q7分别接8个发光二极管,这样,当输出为高电平时,发光二极管点亮。比赛准备,译码器预置输入为100,中心处二极管首先点亮,当编码器进行加法计数时,亮点右移,进行减法计数时,亮点左移。 4)胜负显示 将双方终端二极管正级经非门后的输出分别接到两个74LS163计数器的CLK段,74LS163的两组4位BCD码分别接到实验装置的两组七段数码显示管A、B、C、D插口处。当一方取胜时,该方终端二极管发亮,显示一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示。 图1 功能逻辑图

哈工大数电2002-2004打印

二OO 二 年 数字逻辑电路 试题 一、解答下列各题(共34分) 1. 某采集系统要求模数转换部分精度为0.5%。应选多少位的模数转换器?若用并行A/D ,其中有 多少个比较器?并/串行有多少个比较器?(5分) 2. 两路同频方波信号,其相位差恒为90度,如图所示。试设计一个检测电路判断A 、B 相位情况, 当A 超前B 90度时,如图1-a 所示,输出F 为1;当B 超前A 90度时,如图1-b 所示,输出F 为0。(5分) 3. 某同步时序电路有一个输入和一个输出,当输入序列有奇数个1时输出为1,否则输出为0,试画 出此同步时序电路的状态图。(6分) 4. 改正下图电路,并画出输出F 和V O1的波形。(6分) 5. 试设计一个转换电路,当X=1时,将JK 触发器转换成D 触发器;当X=0时,将JK 触发器转换成 T 触发器,画出逻辑电路图。(6分) A B C F B A B A B 图1-a 图1-b

6. 试用两片74161设计一个模60加法计数器。(6分) 二、试分析下图电路,画状态转换图,并说明其工作原理。其中:Q 2Q 1为状态输出控制某 程控放大器的增益,电路的三个状态(Q 2Q 1=00、01、10)分别对应10、20、40放大倍数,(11)状态为禁止态,X 为控制输入,Y1、Y2为溢出指示。(15分) CP X Y1 Y2

三、用双四选一数据选择器74153设计一个乘法器,用来完成两个两位二进制数的乘法运算(a1a0 ╳ b1b0= P3P2P1P0)。(15分) 74153功能表 四、试用JK触发器和门电路设计一个同步模6格雷码计数器。(20分) 要求:1、写出三位格雷码,即循环码 2、以初始状态为001画出同步模6格雷码计数器状态图 3、电路接通电源时,必须置位到001状态

相关文档
最新文档