第三章 电路板的设计安装及调试

第三章 电路板的设计安装及调试
第三章 电路板的设计安装及调试

第三章电路板的设计安装及调试

第三章电路板的设计安装及调试

3.1 Protel 99 SE简介

Protel 99 SE是基于Windows95/98/2000/XP环境的新一代电路原理图辅助设计与绘制软件,其功能模块包括电路原理图设计、印制电路板设计、无网格布线器、可编程逻辑器件设计、电路图模拟/仿真等到。它是集电路设计与开发环境于一体的软件。各模块具有丰富的功能,可以实现电路设计与分析的目的。

1 电路设计的主要部分:

●用于原理图设计的Schematic模块。

该模块主要包括设计原理图的原理图编辑器,用于修改、生成零件库编辑器以及各种报表的生成器。

●用于电路板设计的PCB设计模块。

该模块主要包括用于设计电路板的电路板编辑器,用于修改、生成零件封装编辑器以及电路板组件管理器。

●用于PCB自动布线的Route模块。

●用于可编辑逻辑器件设计的PLD模块。

该模块主要包括具有语法意识的文本编辑器、用于编译和仿真设计结果的PLD经及仿真波形观察窗口。

●用于电路仿真的Simulate模块。

该模块主要包括一个能力强大的数/模混合信号电路仿真器,能提供连续的模拟信号和离散的数字信号仿真。

2 Protel 99 SE有如下特点:

?支持层次化设计

?丰富而灵活的编辑功能

?强大的自动化功能

?在线库编辑及完善的库管理

3.2 原理图的绘制

1 加载元件库

在绘制电路图前,首先要添加几个常用的原理图库,这样查找元件就很方便。常用的原理图库有五个:MISCELLANEOUS DEVICE.LEB,INTER DATABOOK,NES DATABASE,TI DATABASE,SIM.DDB,其中很多元件都在杂元件库MISCELLANEOUS DEVICE.LEB中。

2 放置元件

将电路原理图中需要的元件都从原理图库中添加到原理图中,并根据电需要将元器件排列好。

3 设置元器件的参数

双击元器件,在弹出的对话框中对元器件的封装、编号、管脚号等进行设置。

4 绘制电路原理图

将元器件布好局后,执行画导线命令,将原理图连接成一个完整的电子数字钟电路图。此时记住,一定要在电路图的旁边放一个电源插件,以供以后为电路板提供电源。

5 生成网络报表

在绘制完原理图后,一定要为电路图生成一个网络报表。执行Design- Create Netlist菜单命令。绘制电路图的最主要的目的就是为了将设计电路转换成一个有效的网络表,经供其它后续处理程序使用。这样也可以检查出电路的连接网络是否跟自己要制作的电路要求一致。

网络表是联系原理图和PCB板中间的文件,PCB板布线需要网络文件(.net)。这样在PCB板中才能根据网络来连接线,所以一定要生成网络报表,并确保其没有空漏。

3.3 PCB的绘制

Protel 99 SE会为印制电路板生成各种报表。这些报表可以给用户提供有关设计过程及设计内容的详细资料。这些资料主要包括设计过程中的电路板状

态信息、引脚信息、元件封装信息、网络信息以及布线信息等。根据这些信息,我们可以清晰的了解电路中所用的元器件和一些相关信息。

1 生成引脚报表

引脚报表能提供电路板上选取的引脚信息。生成这些引脚的相关信息,可以让用户比较方便地检验网络上的连线。在电路板上选取需要生成报表的引脚,然后执行Reports—selected Pins菜单命令即可。

2 生成电路板信息报表

电路板信息报表的作用是给用户提供一个电路板的完整信息,包括电路板的尺寸、电路板上的焊点,导孔的数量以及电路板上的元件标号等等。执行Reports —Board Information菜单命令即可。对话框中还会显示各个内部层所接的网络和内部层间的连接方式。

3 生成网络状态报表

网络状态报表用列出电路板中每一条网络的长度。要生成网络状态报表,可以执行Rports—Netlist Status菜单命令,系统将打开文本编辑器,产生相应的网络状态报表。

4 生成元件报表

元件报表功能可以用来整理一个电路或一个项目中的元件,形成一个元件列表,以供用户查询。

电路特性报表用于提供一些有关元件的电特性资料。执行Reports—Signal Integrity菜单命令即可。

3.4 打印电路板

设置好了以后,就可以打印PCB了,直接点击打印按钮即可。在打印好PCB 后,就将图平敷在选好的铜板上,在这之前要将铜板用砂纸将铜板砂平。然后用印压机将图印到板上,注意的是,印压机的温度一定要高于140度。

3.5 元器件的装配与布局

在焊接元器件的时候一定要先根据板子尺寸的大小的排版的疏密程度来安排

元器件在电路板上的装配方式。对二极管子、电阻、电容的装配方式一般有直立式、俯卧式和混合式三种。

1、直立式:这种安装电阻、电容、二极管等都是竖直安装在印刷电路板上的。这种方式的特点是:在一定的单位面积内可以容纳较多的电子组件,同时组件的排列也比较紧凑。缺点是:组件的引线过长,所占高度大,且由于组件的体积尺寸不一致,其高度不在一个平面上,欠美观,元器件引脚弯曲,且密度较大,元器件之间容易引脚碰触,可靠性欠佳,且不太适合频率较高的电路采用。

2、俯卧式:二极管、电容、电阻等组件均是俯卧式安装在印刷电路板上的。这样可以明显地降低组件的排列高度,可实现薄形化,同时元器件的引线也最短,适合于较高工作频率的电路采用,也是目前采用得最广泛的一种安装方式。

3、混合式:为了适应各种不同条件的要求或某些位置受面积所限,在一块印刷电路板上,有的元器件采用直立式安装,也有的元器件则采用俯卧式安装。这受到电路结构各式以及机壳内空间尺寸的制约,同时也与所用元器件本身的尺寸和结构形式有关,可以灵活处理。

3.6 焊接与整机装配调试

信号输入前置放大部分,最容易感受到噪声干扰。因此,我们把转换电路安装在面板上,采用一点接地焊接,信号输入输出线均采用尽量短的屏蔽线。这样我们就有效的提高了放大器的干扰能力,使其达到系统指标要求。

后级功放电路所需电源功率较大,为使大信号也能不失真输出,必须使电流畅通无阻的供给功放级。因而在电源焊接工艺上,我们采用出铜线将正负电源接地分别连上焊牢,以减少其接触电阻。

整机调试时,用示波器逐级检查输入正弦信号的输出波形。若有波形失真,则通过判断其是否为高频失真(表现为有过冲量),还是低频失真(表现为上升沿和下降沿变斜或出现圆圈)来改变电路中相应的阻、容元件参数,使之最终达到指标要求

电路中各部分耦合电容均采用钽电容,电阻则采用金属膜电阻,使整机性能增加。

制作功放的整天效果与焊接、布线关系密切,为防止噪声干扰,减少失真,

机内各电路板装配非常讲究,本功率放大器电源部分和前置部分分别置于机箱相对的角上,以免前置级引入变压器干扰,同时,强弱信号也尽量分开,以免反馈自激。信号输入线采用屏蔽线,以减少外部干扰。

整机内部装配图图下,同时,为使面板不致呆板,设计时,在面板上布置大接线柱数字显示,引出试点。整个面板美观大方布局工整,便于测试。面板图如图:

图11 整机装配图

3.7 功能测试及结果分析

整个系统在调试时,分部分调试.首先是电源的调试,其次是前置放大级和转换电路的调试,然后是功率级本身的调试,最后将整个电路连接起来调试:

(1)额定功率P(:输入1 kHz正弦波,输入正弦信号电压有效值为5mV时,在8Ω电阻负载(一端接地)上,输出功率≥5W,输出波形无明显失真。用示波器测到此时输出波形电压有效值为U=12.7 V,则PoR=U /RL=12.72/10=16.1W。

(2)带宽BW :通频带扩展为10Hz~50kHz。输入信号幅值不变,改变频率,用示波器测输出幅值,下限频率和上限频率对应的幅值。测得带宽为10 Hz~40 kHz。

(3)交流声功率:输入端短路时,用晶体管毫伏计测输出端交流电压有效值为1.38 mV。

(4)噪声系数:断掉信号,电源为其它电路提供电源,正常工作,输出噪声电压有效值V0N≤5mV。

(5)其它部分的测试完全正常,达到预期效果。

以太网接口PCB设计经验分享

以太网口PCB布线经验分享 目前大部分32 位处理器都支持以太网口。从硬件的角度看,以太网接口电路主要由 MAC 控制器和物理层接口(Physical Layer ,PHY )两大部分构成,目前常见的以太网接口 芯片,如LXT971 、RTL8019 、RTL8201、RTL8039、CS8900、DM9008 等,其内部结构也 主要包含这两部分。 一般32 位处理器内部实际上已包含了以太网MAC 控制,但并未提供物理层接口,因此,需外接一片物理层芯片以提供以太网的接入通道。 常用的单口10M/100Mbps 高速以太网物理层接口器件主要有RTL8201、LXT971 等,均提供MII 接口和传统7 线制网络接口,可方便的与CPU 接口。以太网物理层接口器件主 要功能一般包括:物理编码子层、物理媒体附件、双绞线物理媒体子层、10BASE-TX 编码/ 解码器和双绞线媒体访问单元等。 下面以RTL8201 为例,详细描述以太网接口的有关布局布线问题。 一、布局 CPU M A RTL8201 TX ± 变 压 RJ45 网口 器 C RX± 1、RJ45和变压器之间的距离应当尽可能的缩短. 2、RTL8201的复位信号Rtset 信号(RTL8201 pin 28 )应当尽可能靠近RTL8021,并且,如果可能的话应当远离TX+/-,RX+/-, 和时钟信号。 3、RTL8201的晶体不应该放置在靠近I/O 端口、电路板边缘和其他的高频设备、走线或磁性 元件周围. 4、RTL8201和变压器之间的距离也应该尽可能的短。为了实际操作的方便,这一点经常被放弃。但是,保持Tx±, Rx±信号走线的对称性是非常重要的,而且RTL8201和变压器之间的距离需要保持在一个合理的范围内,最大约10~12cm。 5、Tx+ and Tx- (Rx+ and Rx-) 信号走线长度差应当保持在2cm之内。 二、布线 1、走线的长度不应当超过该信号的最高次谐波( 大约10th) 波长的1/20 。例如:25M的时钟走线不应该超过30cm,125M信号走线不应该超过12cm (Tx ±, Rx ±) 。 2、电源信号的走线( 退耦电容走线, 电源线, 地线) 应该保持短而宽。退耦电容上的过孔直径 最好稍大一点。 3、每一个电容都应当有一个独立的过孔到地。 4、退耦电容应当放在靠近IC的正端(电源),走线要短。每一个RTL8201 模拟电源端都需要退耦电容(pin 32, 36, 48). 每一个RTL8201 数字电源最好也配一个退耦电容。 5、Tx±, Rx ±布线应当注意以下几点: (1)Tx+, Tx- 应当尽可能的等长,Rx+, Rx- s 应当尽可能的等长; (2) Tx±和Rx±走线之间的距离满足下图: (3) Rx±最好不要有过孔, Rx ±布线在元件侧等。

今天终于弄懂了PCB高速电路板设计的方法和技巧

[讨论]今天终于弄懂了PCB高速电路板设计的方法和技巧受益匪浅啊 电容, 最大功率, 技巧 高速电路设计技术阻抗匹配是指负载阻抗与激励源内部阻抗互相适配,并且得到最大功率输出的一种工作状态。高速PCB布线时,为了防止信号的反射,要求线路的阻抗为50Ω。这是个大约的数字,一般规定同轴电缆基带50Ω,频带75Ω,对绞线则为100Ω,只是取整数而已,为了匹配方便。根据具体的电路分析采用并行AC端接,使用电阻和电容网络作为端接阻抗,端接电阻R要小于等于传输线阻抗Z0,电容C必须大于100pF,推荐使用0.1UF的多层陶瓷电容。电容有阻低频、通高频的作用,因此电阻R不是驱动源的直流负载,故这种端接方式无任何直流功耗。 串扰是指当信号在传输线上传播时,因电磁耦合对相邻的传输线产生不期望的电压噪声干扰。耦合分为容性耦合和感性耦合,过大的串扰可能引起电路的误触发,导致系统无法正常工作。根据串扰的一些特性,可以归纳出几种减小串扰的方法: 1、加大线间距,减小平行长度,必要时采用jog 方式布线。 2、高速信号线在满足条件的情况下,加入端接匹配可以减小或消除反射,从而减小串扰。 3、对于微带传输线和带状传输线,将走线高度限制在高于地线平面范围要求以内,可以显著减小串扰。 4、在布线空间允许的条件下,在串扰较严重的两条线之间插入一条地线,可以起到隔离的作用,从而减小串扰。传统的PCB设计由于缺乏高速分析和仿真指导,信号的质量无法得到保证,而且大部分问题必须等到制版测试后才能发现。这大大降低了设计的效率,提高了成本,在激烈的市场竞争下显然是不利的。于是针对高速PCB设计,业界人士提出了一种新的设计思路,成为“自上而下”的设计方法,经过多方面的方针分析和优化,避免了绝大部分可能产生的问题,节省了大量的时间,确保满足工程预算,产生高质量的印制板,避免繁琐而高耗的测试检错等。利用差分线传输数字信号就是高速数字电路中控制破坏信号完整性因素的一项有效措施。在印制电路板(PCB抄板)上的差分线,等效于工作在准TEM模的差分的微波集成传输线对。其中,位于PCB顶层或底层的差分线等效于耦合微带线,位于多层PCB内层的差分线,等效于宽边耦合带状线。数字信号在差分线上传输时是奇模传输方式,即正负两路信号的相位差是180,而噪声以共模的方式在一对差分线上耦合出现,在接受器中正负两路的电压或电流相减,从而可以获得信号消除共模噪声。而差分线对的低压幅或电流驱动输出实现了高速集成低功耗的要求。

DDR3内存的PCB仿真与设计

本文主要使用时域分析工具对DDR3设计进行量化分析,介绍了影响信号完整性的主要因素对DDR3进行时序分析,通过分析结果进行改进及优化设计。 1 概述 当今计算机系统DDR3存储器技术已得到广泛应用,数据传输率一再被提升,现已高达1866Mbps。在这种高速总线条件下,要保证数据传输质量的可靠性和满足并行总线的时序要求,对设计实现提出了极大的挑战。 本文主要使用了Cadence公司的时域分析工具对DDR3设计进行量化分析,介绍了影响信号完整性的主要因素对DDR3进行时序分析,通过分析结果进行改进及优化设计,提升信号质量使其可靠性和安全性大大提高。 2 DDR3介绍 DDR3内存与DDR2内存相似包含控制器和存储器2个部分,都采用源同步时序,即选通信号(时钟)不是独立的时钟源发送,而是由驱动芯片发送。它比DR2有更高的数据传输率,最高可达1866Mbps;DDR3还采用8位预取技术,明显提高了存储带宽;其工作电压为1.5V,保证相同频率下功耗更低。 DDR3接口设计实现比较困难,它采取了特有的Fly-by拓扑结构,用“Write leveling”技术来控制器件内部偏移时序等有效措施。虽然在保证设计实现和信号的完整性起到一定作用,但要实现高频率高带宽的存储系统还不全面,需要进行仿真分析才能保证设计实现和信号质量的完整性。 3 仿真分析 对DDR3进行仿真分析是以结合项目进行具体说明:选用PowerPC 64位双核CPU 模块,该模块采用Micron公司的MT41J256M16HA—125IT为存储器。Freescale 公司P5020为处理器进行分析,模块配置内存总线数据传输率为1333MT/s,仿真频率为666MHz。 3.1仿真前准备 在分析前需根据DDR3的阻抗与印制板厂商沟通确认其PCB的叠层结构。在高速传输中确保传输线性能良好的关键是特性阻抗连续,确定高速PCB信号线的阻抗控制在一定的范围内,使印制板成为“可控阻抗板”,这是仿真分析的基础。DDR3总线单线阻抗为50Ω,差分线阻抗为100Ω。 设置分析网络终端的电压值;对分析的器件包括无源器件分配模型;确定器件类属性;确保器件引脚属性(输入\输出、电源\地等)……

电路板制作流程稿

电路板制作流程(稿) 李仕兵日期:2003-2-13 电路板制作是一门专业的学问,它涉及了很多方面的知识,如电学、磁学、美学、机械学、空间想象思维等多方面的知识,还需要了解市场行情,电子科技发展等。可以说,一块简单或要求不高的电路板,只要学会了制作工具(如PROTEL9)就可以制作。但一块好的要求高的电路板,你就要从原理图优化设计,到PCB的合理布置都要经过精心的考虑。电路板的绘制要有讲究,不能随便放置元件,在考虑电气性能通过良好的基础上,要考虑到元件的大小、高低搭配一致,做到有层次感。电路板上属于同一功能块的元件应尽量放在一起,发热量大的元件要用较宽的敷铜区把元件底部与元件外的空区域连接在一起,利用了铜的良导热性把热量导走到外面的大面积处,增大散热面积,便于散热。好的板需要考虑线路简洁,电路通畅,电磁兼容,抗干扰能力强,是高频要上得去,元件在电路板上密度要大致均匀,高低适当,尽量美观大方。 拿到一幅电路图,首先看清楚电路的原理、功能,控制和被控对象,理清电路的逻辑。制作电路板,尽可能做到“一次定型”,避免浪费现象。 绘制电路板的过程步骤,一般如下: 位按键用RST或RESET等。也可使用自动标注,把各个元件标注不同的序号,但一般都是自动标注带问号 (?)的,如R?,D?等,这样使个类元件名称分开,方便查阅和检查。 2?电气规则检查。简单的原理图出错几率比较小,复杂的电路原理图由于所用元件较多,网络节点较多,网络繁复,这样人为检查就容易漏掉一些错误,如网络标号多一字母或少一字母,有时又只写了一个网络标号,或者有两个元件用同一个名的,这些错误使用电气规则检查一般都能检查岀来。还有一些电路原理上的错误,可以在后来绘制PCB时,通过仔细的分析发现。 3?封装。给元件一个合适的外形形状,便于使实物与所绘制的PCB板对应。一个元件可以使用不同的 封装,一个封装也可用于不同的元件。适当的封装应该是和元件刚好配合,这样就需要在元件封装前了解 实物的大小,管脚间距,外形尺寸。常用封装如:

pcb layout指导书

PCB Layout作业指导书 1.0目的: 规范PCB的设计思路,保证和提高PCB的设计质量。 2.0适用范围: 适用于PCB Layout. 3.0具体内容: (1)A:Layout 部分…………………………………………………………2-19 (2)B:工艺处理部分………………………………………………………20-23 (3)C:检查部分……………………………………………………………24-25 (4)D:安规作业部分………………………………………………………26-32

A : L a y o u t 部分 一、长线路抗干扰 如:图二 图一 图二 在图二中,PCB 布局时,驱动电阻R3应靠近Q1(MOS 管),电流取样电阻R4应靠近U1的第3Pin ,即上图一所说的R 、D 应尽量缩短高阻抗线路。又因运算放大器输入端阻抗很高,易受干扰。输出端阻抗较低,不易受干扰。一条长线相当于一根接收天线,容易引入外界干扰。 又如图三: (A ) (B ) 高阻 低阻 R R D D 电路一 电路二 电路二 电路一 Q3

在图三的A中排版时,R1、R2要靠近三极管Q1放置,因Q1的输入阻抗很高,基极线路过长,易受干扰,则R1、R2不能远离Q1。 在图三的B中排版时,C2要靠近D1,因为Q3三极管输入阻抗很高,如Q2至D1的线路太长,易受干扰,则C2应移至D1附近。 二、小信号走线尽量远离大电流走线,忌平行。 小信号线 大 大电流走线 三、小信号处理电路布线尽量集中,减少布板面积提高抗干扰能力。 四、一个电流回路走线尽可能减少包围面积。 信号线 如:电流取样信号线和来自光耦的信号线 五、光电耦合器件,易受干扰,应远离强电场、强磁场器件,如大电流走 线、变压器、高电位脉动器件等。 六、多个IC等供电,Vcc、地线注意。 并联单点接地,互不干扰。 串联多点接地,相互干扰。

030442003高速电路板设计与仿真

《高速电路板设计与仿真》课程教学大纲 课程代码:030442003 课程英文名称:High Speed Printed Circuit Board Design and Emulation 课程类别:专业基础课 课程性质:选修 适用专业:电子科学与技术 课程总学时:40 讲课:40 实验:0 上机:0 大纲编写(修订)时间:2011.7 一、大纲使用说明 (一)课程的地位及教学目标 本课程是电子科学与技术专业的专业任选课, 属于专业技术基础课,是一门重要的实践课程。通过本课程的学习,学生能够利用先进的高端软件设计高速电路板,绘制出具有实际意义的原理图和印刷电路板图,具有对设计中的信号完整性、电磁兼容性、电源完整性等问题的分析能力,熟悉一定的电子工艺和印刷电路板的布局布线知识,为今后从事高端设计工作打下一定的基础。 (二)知识、能力及技能方面的基本要求 在知识方面,要求学生具有初步的半导体工艺、印制电路、芯片封装等方面的知识,还要了解信号完整性、电磁兼容性、电源完整性等方面的基本概念,如此才能设计出高质量的高速PCB。在能力方面,要求学生具备一些计算机方面的操作技能。 (三)实施说明 1.教学内容:包括原理图设计、PCB设计、高速信号仿真三部分,其中PCB设计为重点内容。应突出高速和高质量PCB的讲解,以适应高端设计要求。讲课要理论联系实际,设计具有实际意义的原理图和印刷电路板图,而不只是空讲理论知识。 2.教学方法:采用启发式教学,提高学生分析问题和解决问题的能力。鼓励学生通过实践和自学获取知识,培养学生的自学能力,调动学生自行设计的学习积极性和创新能力。 3.教学手段:本课程属于技术基础课,在教学中可采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 4.大纲实施时应贯彻学院工程训练与工程教育相结合的特点,注重学生的能力培养和专业素质的提高,尤其是培养学生的实际动手设计和操作的能力。 (四)对先修课的要求 本课程的先修课为电路、模拟电子电路、数字电子电路、计算机基础知识。 (五)对习题课、实践环节的要求 每次课后留有一定量的操作练习,要求学生课后在自己的电脑上学习操作。本课程无实验。 (六)课程考核方式 1.考核方式:考查 2.考核目标:考核学生是否掌握了软件的基本操作方法,重点考核学生的原理图绘制和印刷电路板的设计能力,所设计的项目是否具有实际意义。 3.成绩构成:本课程的总成绩由两部分组成:平时成绩(包括平时自行练习、出勤等)占20%,期末验收成绩(以综合作业完成情况给出成绩)占80%。按优、良、中、及格、不及格五级给出最后成绩。 (七)参考书目 《Cadence SPB 15.7工程实例入门》于争著,电子工业出版社, 2010.5.

由电路板画电路图方法的研究

收稿日期:2002—10—09 作者简介:张治中(1948—),男,陕西澄城人,佛山科学技术学院信息中心副教授Λ 由电路板画电路图方法的研究 张治中,曾联明 (佛山科学技术学院信息中心,广东佛山528000) 摘 要:文章介绍了利用扫描仪和Pho to shop 、P ro tel 两种工具软件,在电脑上绘制双面印刷电路板的电路图的方法和步骤Λ 关键词:无图纸;双面印刷电路板;绘制电路图 中图分类号:O 46 文献标识码:A 文章编号:1009—5128(2003)02—0016—03 On the Com puter D raw Bluepr i n t for PCB ZHAN G Zh i 2zhong ,ZEN G L ian 2m ing (Info r m ati on Center ,Fo shan U niversity ,528000Fo shan ,Ch ina ) Abstract :T h is paper exp lains the m ethod and p rocedures of the computer draw bluep rint fo r PCB by using scanner ,Pho to shop softw are and P ro tel softw are . Key W ords :absence of bluep rint ;double side PCB ;bluep rint draw ing 在分析或维修电子仪器设备、电子电器、计算机电路、网络设备等各种电路时,经常会碰到需要印刷电路板的电原理图,以便获知信号在电路中的各种响应、流向和波形变化以及输入输出的接口格式等数据Λ但是,往往因没有电原理图而困扰.有的是因为供应商不予提供,有的因电路太复杂(如计算机主板)无法印刷,有的因使用者丢失或不在手边,这就给科技工作者带来很大的麻烦Λ如何通过印刷电路板绘出电路原理图就成为科技工作者必须研究的问题Λ本人将扫描仪和Pho to 2shop 、P ro tel 两种软件相结合,绘制出了双面印刷电路板的电原理图,效果理想Λ 其基本过程是:先将双层印刷电路板放在扫描仪上分别对正反两面进行扫描,得到两幅图形文件,图形文件格式可以保存为通用格式(如j peg ,gif ,ep s ,bmp ,tif 等),然后通过图形软件如Pho to shop 将其进行局部或整体的处理,如锐化、旋转、缩放、切边、修整,并利用层(L ayer )使电路板的正反两面图形合并在一个文件中,使其成为一个图形文件的两个层,转换成对一个文件的两个层(两个层必须保持可见)进行处理,调节不透明属性(Opacity )使上下层合并成可视的能同时反映正反电路图完整走向的图形,以通用格式文件保存Λ分析时调出图形,就可自由的观察印刷电路板的连线情况,亦可放大观察一些细微部位,如故障电路板的烧毁的连线、烧焦的发黄基板、焊点的裂缝或虚焊的焊点以及元器件的变化Λ观察或分析双层电路板尤其方便,可以激活单面观察,亦可双面观察Λ单面观察分析各方面的连接或变化,双面观察可分析两层之间的连接情况Λ通过调节透明度,使对齐重叠的上下两面同时可以看清楚,这时上下两层的元件和连线一一对应,一目了然Λ可以合并图层存盘保存,以便日后随时分析使用,亦可打印输出Λ 现以打印机接口电路板为例说明电路板画电路图的一般步骤Λ 1 扫描印刷电路板 使用扫描仪扫描文件的步骤为: (1)安装Pho to shop 软件和扫描仪; (2)将要扫描的实物如电路板安放在扫描仪的扫描玻璃面上,放好后盖好扫描仪盖面; (3)运行Pho to shop ,打开F ile →Inpo rt →Tw ain ,选择300D P I 分辨率,采用R GB 彩色支持开始扫描,扫描前还可以选择扫描的范围Λ (4)因为电路板电路绘制的需要,所以还需对电路板的反面进行另一次扫描,扫描时的设置和正面的设置保持一致,这一点非常重要且必须保证,因为它涉及到下一步正反两面电路的对接问题Λ 2003年3月第18卷第2期 渭南师范学院学报Journal of W einan T eachers Co llege M arch 2003V o l .18 N o 12

PCB仿真概述

随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,人们对通信需求的不断提高,要求信号的传输和处理的速度越来越快,相应的高速PCB的应用也越来越广,设计也越来越复杂。高速电路有两个方面的含义,一是频率高,通常认为数字电路的频率达到或是超过45MHZ 至50MHZ,而且工作在这个频率之上的电路已经占到了整个系统的三分之一,就称为高速电路;二是从信号的上升与下降时间考虑,当信号的上升时小于6倍信号传输延时时即认为信号是高速信号,此时考虑的与信号的具体频率无关。高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自己的经验去布线,会顾此失彼,造成研发周期过长,浪费财力物力,生产出来的产品不稳定。 高速电路设计在现代电路设计中所占的比例越来越大,设计难度也越来越高,它的解决不仅需要高速器件,更需要设计者的智慧和仔细的工作,必须认真研究分析具体情况,解决存在的高速电路问题。一般说来主要包括三方面的设计:信号完整性设计、电磁兼容设计、电源完整性设计。 在电子系统与电路全面进入1GHz以上的高速高频设计领域的今天,在实现VLSI芯片、PCB和系统设计功能的前提下具有性能属性的信号完整性问题已经成为电子设计的一个瓶颈。从广义上讲,信号完整性指的是在高速产品中有互连线引起的所有问题,它主要研究互连线与数字信号的电压电流波形相互作用时其电气特性参数如何影响产品的性能。 传统的设计方法在制作的过程中没有仿真软件来考虑信号完整性问题,产品首次成功是很难的,降低了生产效率。只有在设计过程中融入信号完整性分析,才能做到产品在上市时间和性能方面占优势。对于高速PCB设计者来说,熟悉信号完整性问题机理理论知识、熟练掌握信号完整性分析方法、灵活设计信号完整性问题的解决方案是很重要的,因为只有这样才能成为21世纪信息高速化的成功硬件工程师。 信号完整性的研究还是一个不成熟的领域,很多问题只能做定性分析,为此,在设计过程中首先要尽量应用已经成熟的工程经验;其次是要对产品的性能做出预测和评估以及仿真。在设计过程中可以不断积累分析能力,不断创新解决信号完整性的方法,利用仿真工具可以得到检验。 第二章:Candence Allegro PCB简介

高速印刷电路板的设计考虑

高速印刷电路板的设计考虑 2011年4月技术说明TN1033简介 背板是一种典型的用于系统内汇集所有电子模块的物理互连的方式。复杂的系统依靠背板上的连线、走线和连接器来处理大量的高速数据。多个背板模块之间的通信受到诸如连接器、走线长度、过孔和终端等部件的阻抗、电容以及电感参数的影响。设计高性能分布式负载背板的一个极为重要的因素是要了解如何进行设计来保证良好的信号完整性。 本技术说明介绍了几种拓扑连接结构间的基本区别。说明了在背板设计时需要考虑到的各种问题,并重点讨论了通过背板以点对点的传输线方式进行连接时的关键问题。包括印刷电路板走线结构、过孔、器件封装和背板连接器等方面。我们还为设计师们提供了一份印刷电路板设计的检查清单。给出了针对某些特定频率的讨论和指导。本文档还讨论了莱迪思半导体公司的FPGA产品线及其SERDES高速背板接口。这些接口通过CML差分缓冲接口提供高速串行数据流。 背板拓扑结构和概述 目前背板的系统互连拓扑结构主要有三种。它们分别是多点对多点、一点对多点和点对点。传统系统使用多点对多点/一点对多点连接的拓扑结构,为带有单个网络(节点)的多个器件提供有效的互连和通信,如图1所示。 图1:多点对多点背板结构 然而,这种网络结构有严格的数据速率限制。每个网络在卡与背板连接的节点上会有T型结构或者分支结构。这些T型结构会导致背板上信号路径传输线的不连续和不匹配。结果就会在高速传输时,卡与背板接口上都有大反射信号。这些反射信号会来回传送,持续较长的时间,在高速传输的情况下严重降低了信号的完整性。通常要等所传输数据的每个位的反射信号逐渐衰减后,才能实现可接受的信号通信。这大大限制了通信速率。因此,多点对多点和一点对多点的拓扑结构的速率极限一般都低于100 Mbps。由于实际走线长度和卡的插槽的增加,该速度极限很容易 就会低于10 Mbps。 点对点的互连拓扑结构消除了上面所述的信号路径的分支。消除了所产生的信号反射,从而大大提高了最大的数据速率。通过周详的设计考虑,这种背板互连可用于数据速率高达3 Gbps甚至更高的通信。 Lattice Semiconductor Corp.2011版权所有? 所有莱迪思的商标、注册商标、图案和标识符均在https://www.360docs.net/doc/2110035567.html,/legal网站上列出。所有其它品牌或产品名称均 为其所有者的商标或注册商标。此处的参数规格和信息可能会更改,恕不另行通知。中文翻译文档仅为您提供方便。莱迪思将尽力为您提供准确的中文翻译文档,但鉴于翻译的难度,译文可能会与英文文档存在一些微小差别,其准确性也难以保证。请参考英文源文件,获取最新、最准确的信息。所有的翻译文档中的信息均以英

电源完整性与地弹噪声的高速PCB仿真

电源完整性与地弹噪声的高速PCB仿真 作者:Martin Vogel 和Brad Cole,Ansoft 公司使用基于电磁场分析的设计软件来选择退耦电容的大小及其放置位置可将电源平面与地平面的开关噪声减至最小。 随着信号的沿变化速度越来越快,今天的高速数字电路板设计者所遇到的问题在几年前看来是不可想象的。对于小于1纳秒的信号沿变化,PCB板上电源层与地层间的电压在电路板的各处都不尽相同,从而影响到IC芯片的供电,导致芯片的逻辑错误。为了保证高速器件的正确动作,设计者应该消除这种电压的波动,保持低阻抗的电源分配路径。 为此,你需要在电路板上增加退耦电容来将高速信号在电源层和地层上产生的噪声降至最低。你必须知道要用多少个电容,每一个电容的容值应该是多大,并且它们放在电路板上什么位置最为合适。一方面你可能需要很多电容,而另一方面电路板上的空间是有限而宝贵的,这些细节上的考虑可能决定设计的成败。 反复试验的设计方法既耗时又昂贵,结果往往导致过约束的设计从而增加不必要的制造成本。使用软件工具来仿真、优化电路板设计和电路板资源的使用情况,对于要反复测试各种电路板配置方案的设计来说是一种更为实际的方法。本文以一个xDSM(密集副载波多路复用)电路板的设计为例说明此过程,该设计用于光纤/宽带无线网络。软件仿真工具使用Ansoft的SIwave,SIwave基于混合全波有限元技术,可以直接从layout工具Cadence Allegro, Mentor Graphics BoardStation, Synopsys Encore和Zuken CR-5000 Board Designer导入电路板设计。图1是SIwave中该设计的PCB版图。由于PCB的结构是平面的,SIwave可以有效的进行全面的分析,其分析输出包括电路板的谐振、阻抗、选定网络的S参数和电路的等效Spice模型。 图1, SIwave中xDSM电路板的PCB版图,左边是两个高速总线,右边是三个Xilinx的FPGA。 xDSM电路板的尺寸,也就是电源层和地层的尺寸是11×7.2 英寸(28×18.3 厘米)。电源层和地层都是1.4mil厚的铜箔,中间被23.98mil厚的衬底隔开。 为了理解对电路板的设计,首先考虑xDSM电路板的裸板(未安装器件)特性。根据电路板上高速信号的上升时间,你需要了解电路板在频域直到2GHz范围内的特性。图2所示为一个正弦信号激励电路板谐振于0.54GHz时的电压分布情况。同样,电路板也会谐振于0.81GHz和0.97GHz以及更高的频率。为了更好地理解,你也可以在这些频率的谐振模式下仿真电源层与地层间电压的分布情况。 图2所示在0.54GHz的谐振模式下,电路板的中心处电源层和地层的电压差变化为零。对于一些更高频率的谐振模式,情况也是如此。但并非在所有的谐振模式下都是如此,例如在1.07GHz、1.64GHz和1.96 GHz的高阶谐振模式下,电路板中心处的电压差变化是不为零的。

最新PCB制图说明

P C B制图说明

印制电路板(PCB)设计规范 1mil=1/1000inch=0.00254cm=0.0254mm 1inch=1000mil=2.54cm=25.4mm 1mm=39.37mil 前言 本标准根据国家标准印制电路板设计和使用等标准编制而成。本标准于1998年07 月30日首次发布。 本标准起草单位: CAD研究部、硬件工程室 本标准主要起草人:吴多明韩朝伦胡庆虎龚良忠张珂梅泽良本标准批准人:周代琪 目录 1. 1 适用范围 2. 2 引用标准 3. 3 术语 4. 4 目的 .1 4.1 提供必须遵循的规则和约定 .2 4.2 提高PCB设计质量和设计效率 5. 5 设计任务受理 .3 5.1 PCB设计申请流程 .4 5.2 理解设计要求并制定设计计划 6. 6 设计过程 .5 6.1 创建网络表 .6 6.2 布局 .7 6.3 设置布线约束条件

.8 6.4 布线前仿真(布局评估,待扩充) .9 6.5 布线 .10 6.6 后仿真及设计优化(待补充) .11 6.7 工艺设计要求 7. 7 设计评审 .12 7.1 评审流程 .13 7.2 自检项目 附录1:传输线特性阻抗 附录2: PCB设计作业流程 Q/DKBA-Y004-1999 印制电路板(PCB)设计规范 1. 适用范围 本《规范》适用于华为公司CAD设计的所有印制电路板(简称PCB)。 2. 引用标准 下列标准包含的条文,通过在本标准中引用而构成本标准的条文。在标准出版时,所示 版本均为有效。所有标准都会被修订,使用本标准的各方应探讨,使用下列标准最新版本的 可能性。 [s1] (附注注释 shuwenyao 不确定 所引用的标准按国标、部标及国际标准排序;并按标准号由小到大排序。特别注意:所列标准一定是在正文中被引用过的。)

PCB检验作业指导书

東莞長安上角精陽電子厂 生效日期 PCB檢驗作業指導書 Inspection Instruction For PCB 文件編號:3000-B-031 制訂日期:2001年3月12日版本:01 頁號: 1/3 版本修訂內容修訂日期修訂者01 初次發行 NO 01 02 03 04 05 06 07 08 09 10 11 12 13 單位 制 訂 部 門 ( 品 保 部 ) 副 總 室 工 程 部 制 造 部 資 材 部 管 理 部 業 務 部 采 購 部 品 保 部 資 訊 部 報 關 部 財 務 部 管 理 代 表 需會簽的單位 會 簽 分發份數 1 確認日期 確 認 管 理 代 表 核 准審 查擬 案

東莞長安上角精陽電子厂ITC ENTERPRISE CORPORATION 生效日期 PCB檢驗作業指導書 Inspection Instruction For PCB 文件編號:3000-B-031 制訂日期:2001年3月12日版本:01 頁號: 2/3

1.目的:. 為IQC的檢驗工作提供操作指南 2.适用范圍: 适用于本公司IQC對PCB的檢驗. 4.職責: 4.1 MQE或IQC主管負責該作業指導書的制作及修改. 4.2 IQC檢驗員執行此作業指導書 . 5.定義:(略) 6.內容: 6.1 IQC依MRR單上的P/N,品名規格找出對應的BOM,材料規格承認書或樣品 及材料歷史檔案夾(對于沒有規格承認書或樣品的材料,依照進料檢驗程序處理) 6.2 IQC必須查閱該材料的歷史檔案夾,決定檢驗方式,并對材料作重點性的檢驗及追蹤 6.3 IQC依MRR單上的批量,結合AQL的設置及檢驗方式,查閱MIL-STD-105E LEVEL II抽樣計 划表決定抽樣數量,并到待驗區,對該材料進行抽樣. 6.3.1 AQL的設置: 外觀:MA: 0.40 MI: 1.0 6.3.2 功能:抽檢30Pcs MA: 0 MI: 0 6.3.3剝离實驗:抽檢5PCS MA:0 MI:0 6.4 IQC抽樣時: 6.4.1首先核對來料P/N,品名規格是否与MRR單上的相符. 6.4.2在隨机抽樣的基礎上,對供應商不同D/C或LOT NO,不同班別的材料,必須都有抽樣到, 即還必須做到有針對性的抽樣. 6.4.3.确認包裝方式是否符合要求. 6.5 檢驗 6.5.1 核對來料的P/N,品名規格及供應商名稱必須与規格承認書或BOM上的相符. 6.5.2 外觀檢驗 6.5.2.1要求: 外觀不能有短路、斷路、綠油脫落、焊盤氧化、絲印偏移、板邊破損、 面板划傷等現象,具体見<>,外觀檢驗標准之要求 6.5.2.2檢測工具: 3倍放大鏡 6.5.3 尺寸檢驗 6.5.3.1外圍尺寸:板長、板寬、板厚 6.5.3.1.1要求:符合<>外形尺寸公差之要求 6.5.3.1.2.檢測工具: 游標卡尺 6.5.3.2 定位孔 6.5.3.2.1要求:符合<>孔徑公差之要求 6.5.3.2.2檢測工具:快測孔徑規 6.5.3.3 插件最小孔,CONNECTOR插件孔 6.5.3.3.1要求:符合<>孔徑公差之要求. 6.5.3.3.2檢測工具:針規 6.5.4 板彎板翹量測.

高速高密度PCB设计的现状

高速高密度PCB设计的现状 随着电子产品功能的日益复杂和性能的提高,印刷电路板的密度和其相关器件的频率都不断攀升,工程师面临的高速高密度PCB设计所带来的各种挑战也不断增加。下面为大家准备了关于高速高密度PCB设计的现状,欢迎阅读。 随着竞争的日益加剧,厂商面临的产品面世时间的压力也越来越大,如何利用先进的EDA工具以及最优化的方法和流程,高质量、高效率的完成设计,已经成为系统厂商和设计工程师不得不面对的问题。 热点:从信号完整性向电源完整性转移 谈到高速设计,人们首先想到的就是信号完整性问题。信号完整性主要是指信号在信号线上传输的质量,当电路中信号能以要求的时序、持续时间和电压幅度到达接收芯片管脚时,该电路就有很好的信号完整性。当信号不能正常响应或者信号质量不能使系统长期稳定工作时,就出现了信号完整性问题,信号完整性主要表现在延迟、反射、串扰、时序、振荡等几个方面。一般认为,当系统工作在50MHz 时,就会产生信号完整性问题,而随着系统和器件频率的不断攀升,信号完整性的问题也就愈发突出。元器件和PCB板的参数、元器件在PCB板上的布局、高速信号的布线等这些问题都会引起信号完整性问题,导致系统工作不稳定,甚至完全不能正常工作。 信号完整性技术经过几十年的发展,其理论和分析方法都已经较为成熟。对于信号完整性问题,陈兰兵认为,信号完整性不是某个

人的问题,它涉及到设计链的每一个环节,不但系统设计工程师、硬件工程师、PCB工程师要考虑,甚至在制造时也不能忽视。解决信号完整性问题,必须借助先进的仿真工具,如Cadence的SPECCTRAQuest 就是不错的仿真工具,利用它可以在设计前期进行建模、仿真,从而形成约束规则指导后期的布局布线,提高设计效率。随着Cadence 在今年6月推出的专门针对千兆赫信号的仿真器MGH——它是业界首个可以在几秒之内完成数万BIT千兆赫信号的仿真器——信号完整性 技术更臻完善。 相对于信号完整性,电源完整性是一种较新的技术,它被认为是高速高密度PCB设计目前最大的挑战之一。电源完整性是指在高速系统中,电源传输系统(PDS power deliver system)在不同频率上,阻抗特性不同,使PCB板上电源层与地层间的电压在电路板的各处不尽相同,从而造成供电不连续,产生电源噪声,使芯片不能正常工作;同时由于高频辐射,电源完整性问题还会带来EMC/EMI问题。如果不能很好地解决电源完整性问题,会严重影响系统的正常工作。 通常,电源完整性问题主要通过两个途径来解决:优化电路板的叠层设计及布局布线,以及增加退耦电容。退耦电容在系统频率小于300 ~ 400MHz时,可以起到抑止频率、滤波和阻抗控制的作用,在恰当的位置放置合适的退耦电容有助于减小系统电源完整性的问题。但是当系统频率更高时,退耦电容的作用很小。在这种情况下,只有通过优化电路板的层间距设计以及布局布线或者其他的降低电

allegro_PCB_SI仿真

随着微电子技术和计算机技术的不断发展,信号完整性分析的应用已经成为解决高速系统设计的唯一有效途径。借助功能强大的Cadence公司SpecctraQuest 仿真软件,利用IBIS模型,对高速信号线进行布局布线前信号完整性仿真分析是一种简单可行行的分析方法,可以发现信号完整性问题,根据仿真结果在信号完整性相关问题上做出优化的设计,从而缩短设计周期。 本文概要地介绍了信号完整性(SI)的相关问题,基于信号完整性分析的PCB 设计方法,传输线基本理论,详尽的阐述了影响信号完整性的两大重要因素—反射和串扰的相关理论并提出了减小反射和串扰得有效办法。讨论了基于SpecctraQucst的仿真模型的建立并对仿真结果进行了分析。研究结果表明在高速电路设计中采用基于信号完整性的仿真设计是可行的, 也是必要的。 【关键字】 高速PCB、信号完整性、传输线、反射、串扰、仿真 Abstract With the development of micro-electronics technology and computer technology,application of signal integrity analysis is the only way to solve high-speed system design. By dint of SpecctraQuest which is a powerful simulation software, it’s a simple and doable analytical method to make use of IBIS model to analyze signal integrity on high-speed signal lines before component placement and routing. This method can find out signal integrity problem and make optimization design on interrelated problem of signal integrity. Then the design period is shortened. In this paper,interrelated problem of signal integrity, PCB design based on signal integrity, transmission lines basal principle are introduced summarily.The interrelated problem of reflection and crosstalk which are the two important factors that influence signal integrity is expounded. It gives effective methods to reduce reflection and crosstalk. The establishment of emulational model based on SpecctraQucst is discussed and the result of simulation is analysed. The researchful fruit indicates it’s doable and necessary to adopt emulational design based on signal integrity in high-speed electrocircuit design.

PCB印制电路板的设计是以电路原理图为根据

印制电路板的设计是以电路原理图为根据,实现电路设计者所需要的功能。印刷电路板的设计主要指版图设计,需要考虑外部连接的布局。内部电子元件的优化布局。金属连线和通孔的优化布局。电磁保护。热耗散等各种因素。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。简单的版图设计可以用手工实现,复杂的版图设计需要借助计算机辅助设计(CAD)实现。 目录 PCB设计简介 具体方法 PCB设计基本概念 PCB设计主要的流程 PCB设计简介 具体方法 PCB设计基本概念 PCB设计主要的流程 展开 编辑本段PCB设计简介 在高速设计中,可控阻抗板和线路的特性阻抗是最重要和最普遍的问题之一。首先了解一下传输线的定义:传输线由两个具有一定长度的导体组成,一个导体用来发送信号,另一个用来接收信号(切记“回路”取代“地”的概念)。在一个多层板中,每一条线路都是传输线的组成部分,邻近的参考平面可作为第二条线路或回路。一条线路成为“性能良好”传输线的关键是使它的特性阻抗在整个线路中保持恒定。 线路板成为“可控阻抗板”的关键是使所有线路的特性阻抗满足一个规定值,通常在25欧姆和70欧姆之间。在多层线路板中,传输线性能良好的关键是使它的特性阻抗在整条线路中保持恒定。 但是,究竟什么是特性阻抗?理解特性阻抗最简单的方法是看信号在传输中碰到了什么。当沿着一条具有同样横截面传输线移动时,这类似图1所示的微波传输。假定把1伏特的电压阶梯波加到这条传输线中,如把1伏特的电池连接到传输线的前端(它位于发送线路和回路之间),一旦连接,这个电压波信号沿着该线以光速传播,它的速度通常约为6英寸/纳秒。当然,这个信号确实是发送线路和回路之间的电压差,它可以从发送线路的任何一点和回路的相临点来衡量。图2是该电压信号的传输示意图。 Zen的方法是先“产生信号”,然后沿着这条传输线以6英寸/纳秒的速度传播。第一个0.01纳秒前进了0.06英寸,这时发送线路有多余的正

PCB设计作业指导书D

1、目的

规范产品的PCB 工艺设计,规定PCB 工艺设计的相关参数,使得PCB 的设计满足电气性能、可生产性、可测试性等要求,在产品设计过程中构建产品的工艺、技术、质量、成本优势。

2、范围 本规范适用于所有公司产品的PCB 设计和修改。 3、定义 (无) 4、职责 4.1 R&D 硬件工程师负责所设计原理图能导入PCB网络表,原理上符合产品设计要求。4.2 R&D 结构工程师负责所设计PCB结构图符合产品设计要求。 4.3 R&D PCB Layout工程师负责所设计PCB符合产品设计要求。 5、作业办法/流程图(附后) 5.1PCB 板材要求 5.1.1确定PCB 所选用的板材、板厚等,例如PCB板材:FR-1、FR-4、CEM-1、CEM-3、 纸板等,PCB板厚:单面板常用1.6mm ,双面板、多层板常用1.2mm或1.6mm,PCB的板材和厚度由结构和电子工程师共同确定。 5.1.2确定PCB 铜箔的表面处理方式,例如镀金、OSP、喷锡、有无环保要求等。 注:目前应环保要求,单面、双面、多层PCB板均需采用OSP表面处理工艺,即无铅 工艺。(特殊工艺要求除外,如:轻触按键弹片板表面需镀金处理) 5.1.3确定PCB有关于防燃材料和等级要求,例如普通单面板要求:非阻燃板材XPC或 FR-1 94HB和94V-0;TV产品单面板要求:FR-1 94V-0;TV电源板要求:CEM1 94V-0; 双面板及多层板要求:FR-4 94V-0。(特殊情况除外,如工作频率超过1G的,PCB 不能用FR-4的板材) 5.2散热要求 5.2.1PCB 在布局中考虑将高热器件放于出风口或利于空气对流的位置。

电路板PCB-设计规范

https://www.360docs.net/doc/2110035567.html, 华为PCB设计规范 Q/DKBA 深圳市华为技术有限公司企业标准 Q/DKBA-Y004-1999 印制电路板(PCB)设计规范 VER 1.0 1999-07-30发布1999-08-30实施 深圳市华为技术有限公司发布 前言 本标准根据国家标准印制电路板设计和使用等标准编制而成。 本标准于1998年07 月30日首次发布。 本标准起草单位:CAD研究部、硬件工程室 本标准主要起草人:吴多明韩朝伦胡庆虎龚良忠张珂梅泽良 本标准批准人:周代琪 印制电路板(PCB)设计规范 1. 适用范围 本《规范》适用于华为公司CAD设计的所有印制电路板(简称PCB)。 2. 引用标准 下列标准包含的条文,通过在本标准中引用而构成本标准的条文。在标准出版时,所示版本均为有效。所有标准都会被修订,使用本标准的各方应探讨,使用下列标准最新版本的可能性。 GB 4588.3—88 印制电路板设计和使用 Q/DKBA-Y001-1999 印制电路板CAD工艺设计规范

1. 术语 1..1 PCB(Print circuit Board):印刷电路板。 1..2 原理图:电路原理图,用原理图设计工具绘制的、表达硬件电路中各种器件之间的连接关系的图。 1..3 网络表:由原理图设计工具自动生成的、表达元器件电气连接关系的文本文件,一般包含元器件封装、网络列表和属性定义等组成部分。 1..4 布局:PCB设计过程中,按照设计要求,把元器件放置到板上的过程。深圳市华为技术有限公司1999-07-30批准 1999-08-30实施 1..5 仿真:在器件的IBIS MODEL或SPICE MODEL支持下,利用EDA设计工具对PCB的布局、布线效果进行仿真分析,从而在单板的物理实现之前发现设计中存在的EMC问题、时序问题和信号完整性问题,并找出适当的解决方案。深圳市华为技术有限公司1999-07-30批准 1999-08-30实施 II. 目的 A. 本规范归定了我司PCB设计的流程和设计原则,主要目的是为PCB设计者提供必须遵循的规则和约定。 B. 提高PCB设计质量和设计效率。 提高PCB的可生产性、可测试、可维护性。 III. 设计任务受理 A. PCB设计申请流程 当硬件项目人员需要进行PCB设计时,须在《PCB设计投板申请表》中提出投板申请,并经其项目经理和计划处批准后,流程状态到达指定的PCB设计部门审批,此时硬件项目人员须准备好以下资料: 经过评审的,完全正确的原理图,包括纸面文件和电子件; 带有MRPII元件编码的正式的BOM; PCB结构图,应标明外形尺寸、安装孔大小及定位尺寸、接插件定位尺寸、禁止布线区等相关尺寸; 对于新器件,即无MRPII编码的器件,需要提供封装资料; 以上资料经指定的PCB设计部门审批合格并指定PCB设计者后方可开始PCB设计。

相关文档
最新文档