四路抢答器完整版.docx

四路抢答器完整版.docx
四路抢答器完整版.docx

华北科技学院

课程设计说明书

班级:电子 B071姓名:郭亚立设计题目:四路智能抢答器

设计时间:2010.1.9至 2010.1.22学号:200703014138

指导教师:杜志伟

评语:

评阅成绩:评阅老师:

华北科技学院课程设计报告

四路抢答器设计报告

目录

一、设计任务和要求: ...................................................................................................................- 3 -

1.1设计任务 ..................................................................................................................................- 3 -

1.2设计要求 ..................................................................................................................................- 3 -

二、设计方案的选择与论证...........................................................................................................- 4 -

2.1方案的选择、论证 ..................................................................................................................- 4 -

2.2设计总方案 ..............................................................................................................................- 4 -

三、电路设计计算与分析.................................................................................................................- 5 -

3.1抢答器控制电路设计 ..............................................................................................................- 5 -

3.1.1优先编码器 74LS148 .....................................................................................................- 7 -

3.1.2锁存器 74LS279 ............................................................................................................- 9 -

3.1.374LS121 单稳态触发器: (10)

3.2定时时间电路的设计 (10)

3.2.1计数器 74LS192 (12)

3.3控制电路和报警电路 (13)

3.3.1振荡电路 (17)

华北科技学院课程设计报告

3.4整体仿真 (19)

四、总结及心得 (20)

五、附录 (22)

5.1主要元器件列表 (22)

5.2总原理图 (23)

六、参考文献 (24)

一、设计任务和要求:

1.1设计任务

设计一台可供 4 名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计

时间,由“ 9”倒计到“ 0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示

选手组号,同时蜂鸣器鸣响,倒计时停止。

1.2设计要求

1) 4 名选手编号为: 1,2,3,4。各有一个抢答按钮,按钮的编号与选

手的编号对应,也分别为 1,2,3,4。

2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭

灯)和抢答的开始。

3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答

按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬

声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选

手的编号一直保持到主持人将系统清零为止。抢答器具有定时(15 秒)

抢答的功能。

4)当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计

时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间

(15 秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,

抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并

保持到主持人将系统清零为止。

5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器

报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示 0。

6)用石英晶体振荡器产生频率为 1Hz 的脉冲信号,作为定时计数器的 CP

信号。

二、设计方案的选择与论证

2.1方案的选择、论证

方案一:用一片四D触发器74LS175和四输入2 或非门CD4002实现。四D 触发器输出经四输入或非门到四路抢答按键开关,加到触发器的四个输入端,同时经四输入或非门和反相器作为四 D触发器的时钟信号,四 D 触发器清零端经上拉电阻接电源,同时经过清零控制开关到地。电路简单成本低,稍加扩展就能达到实用化。

方案二:用一片八线-三线优先编码器74LS148、四 RS触发器 74LS279和七段译码器 74LS48实现数显四路(八路)抢答器,电路稍显复杂,但功能较完

善。

方案一电路简单能够满足要求,但是由于需要 4 个 4D触发器,接线繁琐,增加了电路设计与仿真的难度,方案二用集成器件,电路减小了体积,提高了稳定性,并且可以应用EDA 软件仿真、调试,易于进行功能扩展。故采用方案二设计。

2.2设计总方案

本设计电路主要由控制电路、脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和报警产生电路组成。如图 1 所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到 " 清零 " 状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置 ; 开始 " 状态,宣布 " 开始 " 抢答器工作。定时器倒计时。选手在定时时间内抢答时,抢答器完成:优先判断、编

号锁存、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示

剩余时间。如果再次抢答必须由主持人再次操作 " 清除 " 和" 开始 " 状态开关。

图 1. 四人智力竞赛抢答器框图

三、电路设计计算与分析

3.1抢答器控制电路设计

设计电路见图 2 所示。电路选用优先编码器74LS148 和锁存器 74LS279来完成。

抢答器控制电路是抢答器设计的关键,它要完成以下三项功能:

1)当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作,

同时译码显示电路显示编号(显示电路采用七段数字数码管显示)。

2)当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电

路停止工作。

3)当主持人清零后,可再次进行抢答。

工作过程:

开关 J5 置于“清除”端时, RS触发器的 R、S 端均为 0,4 个触发器输出置0,使 74LS148的优先编码工作标志端(图中 5 号端) =0,使之处于工作状态。当开关 J5 断开, J6 置于“开始”时,抢答器处于等待工作状态,当有选手将抢

答按键按下时(如按下J2), 74LS148的输出经 RS锁存后, CTR = 1,RBO(图中

4 端) =1, 七段显示电路 74LS48处于工作状态,4Q3Q2Q= 010, 经译码显示为“ 2”。此外, CTR=1,使 74LS148 优先编码工作标志端(图中 2 号端) =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148 的此时由于仍为CTR=1,使优先编码工作标志端为1,所以 74LS148 仍处于禁止状态,确保不会

出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将

J5 开关重新置“清除”然后才可能进行。

仿真结果

3.1.1优先编码器74LS148

74LS148 为 8 线- 3 线优先编码器,表3.1.1 为其真值表,下图为其管脚图。

华北科技学院课程设计报告

Y 0 9 I

1 16 V

CC

Y 1 7 4 I 5

2 15

Y S

Y 2

6

I 6

3 14

Y

EX

48

I

7

4 74LS148 13

I 3

Y

EX

14

S(E) 5 12 I 2

Y 2 6 11 I 1

Y 1 7 10 I 0 Y s

15

GN D

8

9

Y 0

(b)

74LS148 管脚图

表 3.1.1 74LS148 8 线— 3 线二进制编码器真值表

74LS148 工作原理如下:

该编码器有 8 个信号输入端, 3 个二进制码输出端。此外,电路还设置了输入使能端 EI ,输出使能端 EO 和优先编码工作状态标志 GS 。当 EI=0 时,编码器工作;而当 EI=1 时,则不论 8 个输入端为何种状态, 3 个输出端均为高电平,且优先标志端和输出使能端均为高电平, 编码器处于非工作状态。 这种情况被称

为输入低电平有效,输出也为低电来有效的情况。当 EI 为 0,且至少有一个输入端有编码请求信号(逻辑 0)时,优先编码工作状态标志 GS 为 0。表明编码

器于工作状,否1。

由功能表可知,在 8 个入端均无低平入信号和只有入0 端(先

最低位)有低平入,A2A1A0均 111,出了入条件不同而出代

相同的情况,可由GS的状加以区,当GS=1 ,表示 8 个入端均无低平入,此A2A1A0=111非出; GS=0 , A2A1A0=111表示响

入 0 端低平的出代(出)。EO只有在 EI0,且所有入

端都 1 ,出 0,它可与另一片同器件的EI 接,以便成更多入

端的先器。

从功能表不看出,入先的次7,6,??, 0。入有效信号

低平,当某一入端有低平入,且比它先高的入端无低平

入,出端才出相的入端的代。例如50。且先比它高的

入 6 和入 7 均 1 ,出代010,就是先器的工作原理。

3.1.2锁存器74LS279

原理:在 74ls279 中,由于 4 回路中 2 回路置位端子两个,所以使用其一,整理两个置位入作 1 个使用,或将另一个入固定“H使”用。另外,作稍微化 74LS279 的使用方法,也可将 3 作 RS 存器使用,剩余的 RS 存器作 2 入 NAND 路使用,复位入例如①管脚固定” L”其

入“ H”,所以可构成将②和③作入,出④的 2 入 NAND ,此

如 4.2. 所示。

74LS279 管脚引线图

3.1.374LS121 单稳态触发器:

3.2定时时间电路的设计

原理及设计:

该部分主要由 555 定时器秒脉冲产生电路、十进制同步加减计数器 74LS192 减法计数电路、 74LS48 译码电路和 1 个 7 段数码管即相关电路组成。具体电路如图3 所示。一块 74LS192 实现减法计数,通过译码电路 74LS48 显示到数码管上,其时钟信号由时钟产生电路提供。 74192 的预置数控制端实现预置数,由节目主持人根据共阴极七段数码显示管 DPY_7-SEG 上,当有人抢答时,停

止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,控制74LS48 使 0 闪烁,同时以后选手抢答无效。

由 555 定时器产生时间基准信号秒脉冲。振荡周期为15 秒脉冲信号经两级有预置功能的可逆十进制计数器74LS192对时钟信号进行计数,当计数到达预置的时

间,计数器产生溢出而封锁计数脉冲,使计数器停止计数。数码管指示时间值。具体电路如下图:

仿真结果

3.2.1计数器74LS192

十进制可逆计数器 74LS192(54/74194 )两个引脚图管脚及功能表如下:74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示:

( a)引脚排

列(b)逻辑符号图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端, P0、 P1、P2、P3 为计数器输入端,为清除端, Q0、Q1、 Q2、Q3为数据输出端。

其功能表如下:

输入输出MR P3P2P1P0Q3Q2Q1Q0

1×××××××0000

00××d c b a d c b a

011××××加计数

011××××减计数

3.3控制电路和报警电路

由 555 芯片构成多谐振荡电路,震荡频率

f=1/(R1+R2)C ㏑ 2≈1.43/(R1+R2)C

555的输出信号再经或门控制显示闪烁。控制电路包括时序和报警两个电路,

如图所示。控制电路需具有以下几个功能:

主持人闭合开关,多路抢答器电路和计时电路进入正常状态;

参赛者按键时,抢答电路和计时电路停止工作;

抢答时间到,无人抢答, 0 闪烁,抢答电路和计时电路停止工作。

由功能表可以看出,要使电路实现倒计时(减法)功能,应使CR=0,PE 非 =1,CP+=1,CP-=CP。可用 CR 端接电平开关来控制计时器的工作与否。

闪烁显示电路需要在一种情况下做出反应当裁判员给出“请回答”指令后,计时器开始倒计时,若回答问题时间到达限定的时间,扬声器发声及灯闪烁。

报警电路

控制电路由两部分组成:

一是由门电路组成的抢答与定时控制的时序控制电路,二是 74LS121 驱动报警电路的时序电路。

门控电路主要由或门组成,它的两个输入,一个来自由555 芯片构成多

谐振荡电路,另一个来自计时系统高位计数器的借位信号QB,它说明计时电路

在 3 秒, 2 秒, 1 秒, 0 秒倒计时再向 9 秒转化时向高位借位时给出一个负脉冲

经反相器得到一个高电平。这个高电平信号或上方波信号就使显示器闪烁。

集成单稳态触发器74LS121用于控制报警电路及发声的时间, 具体原理如下:主要由 555 时钟电路(用于控制报警声音频率)、蜂鸣器即相关的延时电路和控制

电路组成。单稳态触发器74121 通过信号/Ys 、BO2、S 控制报警与否和报警时间,555 时钟电路产生脉冲时钟。在规定的时间有人抢答时, /Ys 由 1 跳变

到 0,74121 有状态 2,即 Q输出暂态高电平,蜂鸣器连续发声报警,持续时间

为 =2.15 秒;如果在规定时间内无人抢答,BO2由 1 跳变到 0, 74121 有状态 1,

Q输出暂态高电平,蜂鸣器连续发声报警持续时间为

结合警电路,分析计算如下:。取C=100uF, R=25K,。有= 2.15秒。

仿真结果1、倒计时

2、时间到,蜂鸣器响,绿灯闪烁

3.3.1振荡电路

本系统需要产生频率为500KHZ 的脉冲信号,用于触发器的CP 信号,及频率为 1HZ 信号用于计时电路。以上电路可用555 定时器组成,也可用石英晶体组成的振荡器经过分频得到。

图 5

主要参数:电源电压为 4.5-18V ,最大输出电流为 200mA,工作温度范围为 -55 o C-125o C。

3.3.2 74LS121单稳态触发器

3.4整体仿真

51单片机 4路抢答器

先说下我这个4路抢答器的功能: 5个按键,第五个是复位。第一个按键到第四个按键分别对应4个led 灯,只要1到4的其中任何一个按键按下,其对应的led灯就会亮,再按其他按键,不会有其他led灯亮。第五个按键进行复位,开始下一轮抢答。不多说直接上程序和protues仿真图如下: 注意:我试了下,程序有点小问题,(编译是完全通过的)我也没改出来,毕竟小弟我也才学,有大神知道的话可以给我说说,,谢谢。 #include//51头文件 sbit key0 = P3^0;//定义key0,为P3^0引脚 sbit key1 = P3^1;//定义key1,为P3^1引脚 sbit key2 = P3^2;//定义key2,为P3^2引脚 sbit key3 = P3^3;//定义key3,为P3^3引脚 sbit key4 = P3^4;//定义key4,为P3^4引脚 void main()//主函数 { while(1)死循环 { if(key0==0) {P1 = 0xfe;P3 = 0xf0;}//如果key0等于0,即闭合,led1亮,将其他三个按钮锁定为低电平 else if(key1==0) {P1 = 0xfd;P3 = 0xf0;}//如果key0等于1,即闭合,led2

亮,将其他三个按钮锁定为低电平 else if(key2==0) {P1 = 0xfb;P3 = 0xf0;}//如果key0等于2,即闭合,led3亮,将其他三个按钮锁定为低电平 else if(key3==0) {P1 = 0xf7;P3 = 0xf0;}//如果key0等于3,即闭合,led4亮,将其他三个按钮锁定为低电平 if(key4==0) //复位按钮按下闭合,则复位 { P1 = 0xff;P3 = 0xff; } } }

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四路抢答器完整版.docx

华北科技学院 课程设计说明书 班级:电子 B071姓名:郭亚立设计题目:四路智能抢答器 设计时间:2010.1.9至 2010.1.22学号:200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

华北科技学院课程设计报告 四路抢答器设计报告 目录 一、设计任务和要求: ...................................................................................................................- 3 - 1.1设计任务 ..................................................................................................................................- 3 - 1.2设计要求 ..................................................................................................................................- 3 - 二、设计方案的选择与论证...........................................................................................................- 4 - 2.1方案的选择、论证 ..................................................................................................................- 4 - 2.2设计总方案 ..............................................................................................................................- 4 - 三、电路设计计算与分析.................................................................................................................- 5 - 3.1抢答器控制电路设计 ..............................................................................................................- 5 - 3.1.1优先编码器 74LS148 .....................................................................................................- 7 - 3.1.2锁存器 74LS279 ............................................................................................................- 9 - 3.1.374LS121 单稳态触发器: (10) 3.2定时时间电路的设计 (10) 3.2.1计数器 74LS192 (12) 3.3控制电路和报警电路 (13) 3.3.1振荡电路 (17)

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

基于plc的四路抢答器

基于p l c的四路抢答器 The Standardization Office was revised on the afternoon of December 13, 2020

沈阳化工大学 三级项目 项目名称:基于plc的四路抢答器 学院:机械工程学院 班级: 小组成员: 指导教师: 2018年11月22日

摘要 近年来随着科技的飞速发展,PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制智力抢答器进行控制。首先,选择这个题目之后,我们对本次设计进行了全面的思考。使我们对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。 关键词:智力控制,四路抢答器,PLC

目录 一、绪论 研究背景 (1) 二、方案选择 功能介绍 (1) 竞赛抢答器控制要求 (1) 三、硬件电路设计 控制分析 (2) 外部接线图 (2) 四、软件设计 I/O地址分配 (3) 梯形图设计与分析 (4) 五、程序仿真 程序仿真运行 (7)

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

基于单片机的四路无线抢答器

基于单片机的四路无线抢答器 摘要 抢答器作为一种公正的裁决工具,已经广泛应用于各种智力和知识竞赛场合。本文介绍了一种用315MHZ多用途DF无线数据收发模块、PT2262编码芯片及常用单片机AT89C51芯片设计的数码显示四路无线抢答器的电路组成、设计思路及功能。该抢答器除具有基本的抢答功能外,还具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒),定时器进行减计时。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示0。 关键词:AT89C51,PT2262,无线收发模块

Abstract Responder is a fair ruling tool, has been widely used in all kinds of intelligence and knowledge competitions.In this paper, a 315 MHZ multi-purpose DF wireless data transceiver module, and the commonly used microcontroller AT89C51 chip PT2262 encoding chip design of the digital display four way wireless vies to answer first circuit composition, function and design ideas. The responder addition to a basic function, also has the timing responder function, and one answer in the time set by the host (such as 30 seconds), the timer to reduce the time. Contestants answer, in setting the time vies to answer first, the timer stops working, the number of players displayed on the display. If the time has come, no contest, this contest null and void, and to prohibit answer alarm system, from time to time display shows 0. Keywords:AT89C51,PT2262,The wireless transceiver module

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

简易四路抢答器

单片机课程设计 预习报告 班级:建电141 姓名:付鹏鑫 学号:1412032031 设计题目:四位竞赛抢答器系统设计设计时间:2016.01.03~01.07 评定成绩: 评定教师:

目录 摘要 -------------------------------------------------------------------- 错误!未定义书签。 一、任务分析: -------------------------------------------------------------------------------- 3 二、总体方案: -------------------------------------------------------------------------------- 4 2.1 可行方案--------------------------------------------------- 错误!未定义书签。 2.2 方案设计--------------------------------------------------- 错误!未定义书签。 三、硬件设计: -------------------------------------------------------------------------------- 8 3.1 电路原理图 --------------------------------------------------------------------------- 8 1.AT89C51简介 ------------------------------------------------------------------------ 10 2.PCF8591简介 --------------------------------------------------------------------------- 9 3.RESPACK8及RX8简介 (12) 3.2 器件选择--------------------------------------------------- 错误!未定义书签。 四、软件设计: ---------------------------------------------------- 错误!未定义书签。 4.1程序处理流程 --------------------------------------------- 错误!未定义书签。 4.2 程序流程图 ----------------------------------------------- 错误!未定义书签。 4.3 程序介绍--------------------------------------------------- 错误!未定义书签。 五、调试过程: ------------------------------------------------------------------------------ 22 5.1 调试步骤----------------------------------------------------------------------------- 22 六、参考文献: ---------------------------------------------------- 错误!未定义书签。 错误!未定义书签。 摘要:抢答器作为一种工具,已广泛应用于各自智力与知识竞赛场合。本设计是基于C52单片机系统的四路抢答器。考虑到其限时回答功能,

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

相关文档
最新文档