西工大2017年数字集成电路设计实验课实验一

西工大2017年数字集成电路设计实验课实验一
西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算

1、 设计译码器并估算延迟

设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。

译码器的结构可参考典型的4-16译码器

译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中)

① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。

解: 96332,10int =?==ext g C C C ,9.696/10F ==?

假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门):

81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。

因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。

所以:

.

36.5136.5,68.2236.5,

36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68

1036.5=?; 第二级尺寸为956.1768.27.6=?;

第三级尺寸为96244.9636.5956.17≈=?。

故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++=

② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。

解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==,

故36.5,68.2,32.2,32.24321====f f f f

所以:

第一级尺寸为:()9.2832.210=?;

第二级尺寸为:728.632.29.2=?;

第三级尺寸为:03.1868.2728.6=?;

第四级尺寸为:65.9636.503.18=?

正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++=

2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实

际电路,并仿真1题中第一问的路径延迟。

设计出实际电路如下:

仿真图如下:

代码如下

.title hubucmos

.options probe

.protect

.lib'D:\synopsys\Hspice_D-2010.03-SP1\lib\cmos25_level49.lib' TEST .vec'D:\Users\lenovo\Desktop\6\t1.vec'

.unprotect

.global VDD

Mng out g 0 0 NMOS w=1um l=0.5um

Mnf out f one 0 NMOS w=2um l=0.5um

Mna one a two 0 NMOS w=4um l=0.5um

Mnb two b 0 0 NMOS w=4um l=0.5um

Mnc one c three 0 NMOS w=6um l=0.5um

Mnd three d four 0 NMOS w=6um l=0.5um

Mne four e 0 0 NMOS w=6um l=0.5um

Mpg out g six vdd PMOS w=6um l=0.5um

Mpf six f vdd vdd PMOS w=6um l=0.5um

Mpa six a five vdd PMOS w=12um l=0.5um

Mpb six b five vdd PMOS w=12um l=0.5um

Mpc five c vdd vdd PMOS w=12um l=0.5um

Mpd five d vdd vdd PMOS w=12um l=0.5um

Mpe five e vdd vdd PMOS w=12um l=0.5um

CL out 0 10pf

vvdd vdd 0 2.5v

.tran 1n 2u start=0n

.measure tran tdelay1 trig v(g) val=1.25 td=0ns fall=1

+targ v(out) val=1.25 td=0ns rise=1

.measure tran tdelay2 trig v(g) val=1.25 td=0ns fall=2

+targ v(out) val=1.25 td=0ns rise=2

.measure tran tdelay3 trig v(g) val=1.25 td=0ns rise=2

+targ v(out) val=1.25 td=0ns fall=2

.measure tran tdelay4 trig v(g) val=1.25 td=0ns rise=3

+targ v(out) val=1.25 td=0ns fall=3

.print v(out) v(a) v(b) v(c) v(d) v(e) v(f) v(g)

.end

tt.vec:

radix 1111111

io iiiiiii

vname a b c d e f g

tunit us

trise 0.01

tfall 0.01

vih 2.5

vil 0.0

vol 0.25

voh 2.25

0 0000001

0.2 0000000

0.4 1111111

0.6 1011010

0.8 1111100

1 1111111

1.2 0000000

1.4 0000001

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

西北工业大学数电实验报告一Quartus和 Multisim

数字电子技术基础 实验报告 题目:实验一TTL集成门电路逻辑变换 小组成员: 小组成员:

实验一TTL集成门电路逻辑变换 一、实验目的 通过完成所要求的实验内容,来熟练掌握运用TTL集成门电路逻辑变换的基本原理,充分了解 Multisim 软件的仿真技术和QuartusII 软件的绘制原理图、编译程序、波形仿真等功能及将程序写入开发板的全体流程步骤,深入学习数字电路在实践运用中所面临的场景,进而为后续对数字电路更深层次的使用及实验打下良好铺垫。 二、实验要求 要求一:测试与非门逻辑功能。用MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求二:用与非门实现“与”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求三:用与非门实现“或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求四:用与非门实现“异或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求五:用门电路设计实现一位全加器。用MULTISIM软件仿真后,再用 FPGA 实现电路测试逻辑功能 三、实验设备 (1)电脑一台; (2)数字电路实验箱; (3)数据线一根。

四、实验原理 Multisim 的模拟电路编程原理 Quartus II的模拟电路编译、波形仿真及目标器件写入的基本应用数字电路逻辑表达式转换的基本知识 五、实验内容 1、(要求一) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真: (4)记录电路输出结果 2、(要求二) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真:

西工大数字集成电路实验报告_实验2反相器代码

1. 2. 计算出这个电路的V OH V OL 及V IH V IL 。(计算可先排除速度饱和的 可能) V in =0时,V OH = V in=时,假设NMOS 工作在临界饱和区: A I V R I v V V V A I V V L W K I D out L D T in out D T in D 61142`1073.55.207.243.05.21039.7)(2/--?=?+=?????=-=-=?=?-?=这样的话根据 D D I I <1,器件实际工作在线性区 ?????????=+=--=v V V R I V V V V L W K I in OL L D OL OL T in D 5.25.2]2)[(2` 6`10115-?=K 将, 5.0/5.1=L W ,43.0=T V 代入kohm R L 75= 解得: =OL V 由图得:V OH =, V OL =. 当out in V V =时,NMOS 工作在饱和区 ?????+=-?=out L D T in D V R I V V L W K I 5.2)(2/2`

反相器阈值电压===out in M V V V 此时 -6.8978)43.0(875.255.2,)43.0(9375.125.22=--== --=in Vin Vout in out V d d g V V ???????=--==+=0.5458||0.9082||g V V V V g V V V M OH M IL M M IH 由图得:V IH =, V IL =. SP 文件: .TITLE CMOS INVERTER .options probe .options tnom=25 .options ingold=2 limpts=30000 method=gear .options lvltim=2 imax=20 gmindc= .protect .lib'C:\synopsys\' TT .unprotect .global vdd Mn out in 0 0 NMOS W= L= *(工艺中要求尺寸最大) RL OUT VDD 75k VDD VDD 0 VIN IN 0 0

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算 1、 设计译码器并估算延迟 设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。 译码器的结构可参考典型的4-16译码器 译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中) ① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解: 96332,10int =?==ext g C C C ,9.696/10F ==? 假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门): 81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。 因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。 所以: . 36.5136.5,68.2236.5, 36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68 1036.5=?; 第二级尺寸为956.1768.27.6=?; 第三级尺寸为96244.9636.5956.17≈=?。 故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++= ② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==, 故36.5,68.2,32.2,32.24321====f f f f 所以: 第一级尺寸为:()9.2832.210=?; 第二级尺寸为:728.632.29.2=?; 第三级尺寸为:03.1868.2728.6=?; 第四级尺寸为:65.9636.503.18=? 正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++= 2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实 际电路,并仿真1题中第一问的路径延迟。 设计出实际电路如下:

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

西工大2020年4月《数字电子技术》作业机考参考答案

西工大2020年4月《数字电子技术》作业机考参考答案 试卷总分:100 得分:98 一、单选题(共25 道试题,共50 分) 完整答案:wangjiaofudao 1.{ A.{ B.{ C.{ D.{ 正确答案:A 2.十进制数27.5对应的二进制数是()。 A.11010.1 B.11011.1 C.10011.01 D.11001.01 正确答案:B 3.{ A.0,2,4,5,6,7,13 B.0,1,2,5,6,7,13 C.0,2,4,5,6,9,13 D.2,4,5,6,7,11,13 正确答案:A 4.设计10进制计数器,至少需要()级触发器。 A.10 B.4 C.5 D.2 正确答案:B 5.{ A. B. C. D. 正确答案:

6. A.AB B.1 C.0 D. B.{ C.{ D.{ 正确答案: 9.二进制数11001.1对应的八进制数是()。 A.62.1 B.62.4 C.31.4 D.31.1 正确答案: 10.{ A.4 B.5 C.6 D.7 正确答案: 11.{ A.0,2,3,5,6 B.4,6,7

C.4,5,6 D.0,1,2,3,5 正确答案: 12.{ A.{ B.{ C.{ D.{ 正确答案: 13.{ A.4 B.5 C.6 D.7 正确答案:B 14.四个变量的卡诺图中,逻辑上不相邻的一组最小项为()。 A. B. C. D. 正确答案: 15.{ A.15 B.9 C.8 D.7 正确答案: 16.{ A. B. C. D. 正确答案:

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

西工大数字电路实验报告——实验六

实验六:计数器及其应用 一. 实验目的: 1. 熟悉常用中规模计数器的逻辑功能。 2. 掌握时序电路一般设计方法。 3. 能够应用时序电路解决实际问题。 二. 实验设备: 数字电路试验箱,数字双踪示波器,函数信号发生器,74LS161,,74LS00及Multisim 仿真软件。 三. 实验原理: 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 目前,TTL 和CMOS 电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零同步置数四位二进制计数器74LS161。 74LS161为异步清零计数器,即端输入低电平,不受CP 控制,输出端立即全部为“0”。74LS161具有同步置数功能,在端无效时,端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入 , 即同步预置功能。和都无效,T 或P 任意一个为低电平,计数器处于保持状态,即输出状态不变。只有四个控制输入都为高电平,计数器才实现16加法计数。74LS161引脚排列如图(1)所示,表(1)为它的功能表。 图(1) r C r C D L 3210D D D D r C D L

1 0 ↑ D C B A 1 0 1 0 1 1 1 ↑ 表(1) 四.实验内容: 1.用74LS161和74LS00实现两种置数方式的十进制计数。 (1)异步置数法: 利用芯片的预置功能,可以实现M=10进制计数器,M=16-N=10,其中N=6(二 进制为0110)为预置数。将0110送到输入端D3D2D1D0,计数器开始从0110 开始计数,在CP脉冲下一直计数到1111,此时,从进位端Qc输出1,经 非门送到Ld端,呈置数状态。还可以将D3D2D1D0全部接地,当输出值为 1001(十进制的9)时,两个输出端Q3和Q0经与非门送到Ld端,呈置数状 态。第二种方式的电路连接如下图上半部分: (2)同步清零法: 当计数器计数到1010(十进制10)的时候,Q3和Q1经与非门输出,使复位 端Cr为0,从而计数器从执行计数变为复位状态,其电路连接如上图下半 部分: 2.用74LS161和74LS00实现两种级联方式24进制计数。 因为M=24>16,所以才用两片74LS161计数器级联实现24进制计数。使第一片 计数器连接成异步置数法的10进制计数器,当Q3和Q1经与非门输出0时,

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

西工大数电实验报告——计数器及其应用

计数器及其应用 班级:03051001班 学号: 姓名: 同组成员: 一、 实验目的 1. 熟悉常用中规模计数器的逻辑功能。 2. 掌握二进制计数器和十进制计数器的工作原理和使用方法。 3. 运用集成计数器构成1/N 分频器。 二、 实验设备 数字电路试验箱、函数信号发生器、数字双踪示波器、74LS90 三、 实验原理 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 目前,TTL 和CMOS 电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零二-五-十进制异步计数器74LS90。 74LS90是一块二-五-十进制异步计数器,外形为双列直插,引脚排列如图(1)所示,逻辑符号如图(2)所示,图中的NC 表示此脚为空脚,不接线,它由四个主从JK 触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。在74LS90计数器电路中,设有专用置“0”端 ) 1(0R 、 ) 2(0R 和置“9”端 ) 1(9S 、 ) 2(9S 。其中 ) 1(0R 、 ) 2(0R 为两个异步清零端, ) 1(9S 、 ) 2(9S 为两个异步置9端,CP1、CP2为两个 时钟输入端,Q0~Q3为计数输出端,74LS90的功能表见表(1),由此可知:当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;时钟从CP2引入,Q3输出为五进制;时钟从CP1引入,而Q0接CP2 ,即二进制的输出

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

西工大数电实验报告实验三

实验三.基于Quartus II的硬件描述语言电路设计 要求1:学习并掌握硬件描述语言(VHDL 或Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。参考“参考内容1”中给出的与门源程序,编写一个异或门逻辑电路。 1)用QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容2”中给出的将8421BCD 码转换成0-9 的七段码译码器源程序,编写一个将二进制码转换成0-F 的七段码译码器。 1)用QuartusII 波形仿真验证; 2)下载到DE0 开发板,利用开发板上的数码管验证。 要求3:熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容3”中给出的四位二进制加减计数器的源程序,编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求4:熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。参考“参考内容4”中给出的50M 分频器的源程序,编写一个能实现占空比50%的5M 和50M分频器即两个输出,输出信号频率分别为10Hz 和1Hz。 1)下载到DE0 开发板验证。(提示:利用DE0 板上已有的50M 晶振作为输入信号,通过开发板上两个的LED 灯观察输出信号)。电路框图如下: 要求五:扩展内容:利用已经实现的VHDL 模块文件,采用原理图方法,实现0-F 计数自动循环显示,频率10Hz。(提示:如何将VHDL 模块文件在逻辑原理图中应用,参考参考内容5) 一.实验内容与结果 (一)异或门逻辑电路设计 1.异或门逻辑vhdl程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY yihuo IS PORT(A,B:IN STD_LOGIC; C:OUT STD_LOGIC); END yihuo; ARCHITECTURE fwm OF yihuo IS BEGIN C<=A XOR B; END; 2.Quartus II波形仿真即结果

数字集成电路设计课程教学大纲

数字集成电路设计课程教学大纲 英文名称:Digital Integrated Circuits 课程编码:B09062 课程类别:必修 学分数:48 学时数(理论、实验分别表示):48/0 周学时:3 课内学时/课外学时:1/1 授课学期:第六学期 适用专业:电子科学与技术 先修课程:微电子物理基础、数字电路与系统 考核方式:闭卷考试 一、教学目的要求。 本课程是电子科学与技术专业四年制本科生的一门必修课。通过学习,使学生能掌握数字CMOS 集成电路的基本原理及其分析与设计方法,了解集成电路的发展动态,初步熟悉集成电路的设计流程。 二、课程主要内容及基本要求。(标“*”者为重点内容;标“△”者为难点)(一)TTL集成电路 分析:TTL集成电路的基本电路。 (二)TTL集成电路版图设计*△ TTL集成电路版图设计规则、设计要求。 (三)NMOS逻辑集成电路 NMOS的直流特性、瞬态特性和功耗。 (四)CMOS逻辑电路△* CMOS逻辑门的构成特点;CMOS与非门和或非门的分析及其设计;组合逻辑电路的设计;类NMOS电路;传输门逻辑电路计。 (五)MOS集成电路版图设计△ MOS集成电路版图设计、设计要求。

(六)双极电路的基本器件结构 双极电路的基本器件结构、应用举例。 (七)MOS电路的基本器件结构* MOS电路的基本器件结构、举例分析。 (八)MOS电路的分析△* MOS电路的直流分析、交流分析等。 (九)版图设计*△ VLSI的设计方法;门阵列和标准单元设计方法;版图设计。 三、课程主要环节及时数分配见下表: 四、教学的深度与广度 通过本课程的授课,使学生掌握双极和MOS两种工艺条件下的数字电路的设计和分析方法。分析部分包括器件结构、电气参数和电路功能的分析;设计部分包括双极和MOS基本组合电路和时序电路的设计及其对应的版图设计。五、对知识、能力结构、综合素质的要求 了解数字集成电路的设计与分析,包括TTL集成电路、TTL集成电路版图设计、NMOS逻辑集成电路、CMOS逻辑电路、MOS集成电路版图设计、对双

西工大 数字集成电路实验一

数字集成电路实验报告 西北工业大学2014年5月5日星期一

实验一、反相器链的参数模拟下图反相器中的MOS管L=0.5u,W=1.2u。试建立反相器子电路,并考察子电路的VTC特性。建立完整电路后,分析该反相器链的直流传输特性、时序特性及带负载能力(负载为电容0.5P 1P 2P)。 第一步:设计反相器单元 Vdd

第二步:写出输入文件,执行DC分析获得反相器的VTC特性图由曲线可得,单个反相器的开关阈值为2.15V 第三步写出SUBCKT并实例化三个,来实现反相器链对反相器链执行DC扫描。 第四步执行measure 命令测量延迟时间。

由仿真所得的list文件可得: tdelay= 1.8323E-09 targ= 1.2332E-08 trig= 1.0500E-08 第五步考察电路带容性负载的能力,同学们自己完成(提示:建立DATA包含三个容值,瞬态分析时执行SWEEP DATA=DATANM) tdelay= 9.6262E-13 targ= 1.0501E-08 trig= 1.0500E-08 代码附录:

.TITLE 1.2UM CMOS INVERTER .options probe .options tnom=25 .options ingold=2 limpts=30000 method=gear .options lvltim=2 imax=20 gmindc=1.0e-12 .protect .lib'C:\Eric\Digital Integrated Circuit\experiment 1\cmos25_level49.lib' TT .unprotect .global vdd Mn out in 0 0 NMOS W=1.2u L=0.5u *(工艺中要求尺寸最大0.5u)Mp out in vdd vdd PMOS W=3u L=0.5u * 此处W需做更改) CL OUT 0 0.5PF vdd vdd 0 5V vin in 0 PULSE(0 5V 10NS 1N 1N 50N 100N) .DC vin 0 5V 0.1V .op .probe dc v(out) .end .TITLE 1.2UM CMOS INVERTER CHAIN .options probe

西工大数电实验报告_实验一TTL集成逻辑门电路参数测试

实验一TTL集成逻辑门电路参数测试 姓名:同组: 一、实验目的: (1)加深了解TTL逻辑门的参数意义。 (2)掌握TTL逻辑门电路的主要参数及测量方法。 (3)认识各种电路及掌握空闲端处理方法。 二、实验设备: 数字电路实验箱,数字双踪示波器,函数信号发生器,数字万用表,74LS00,电位器,电阻。 三、实验原理: 门电路是数字逻辑电路的基本组成单元,目前使用最普遍的双极型数字集成电路是TTL逻辑门电路。 1) 用示波器测量实验箱的电源输出。 2) 用函数信号发生器产生频率1.5KHz信号,其峰峰值为5.0V,偏移为0V。使用示波器测量该信号(脉冲宽度、周期、幅度和占空比)。切换示波器耦合方式(AC或DC),观察示波器波形显示的异同。 3)调节信号偏移(1V、1.2V、2.5V),切换示波器耦合方式(AC 或DC),观察示波器波形显示的异同。分析信号偏移功能的作用。 TTL集成电路的使用规则: (1)插集成块时,要认清定位标记,不得插反。 (2)使用电源电压范围为+4.5V~+5.5V。实验中要求使用

Vcc=+5V。电源极性不允许接错。 (3)空闲输入端处理方法,悬空,相当于正逻辑“1”,一般小规模集成电路的数据 输入端允许悬空处理。但易受外界干扰,导致电路逻辑功能不正常。因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。 (4)输入端通过电阻接地,电阻值的大小将直接影响电路所处状态。 (5)输出端不允许并联使用(三态门和OC门除外),否则不仅会使电路逻辑功能混 乱,并会导致器件损坏。 (6)输出端不允许直接接电源Vcc,不允许直接接地,否则会损坏器件。 四、实验内容: 1) 用示波器测量实验箱的电源输出。 2) 用函数信号发生器产生频率1.5KHz信号,其峰峰值为5.0V,偏移为0V。使用示波器测量该信号(脉冲宽度、周期、幅度和占空比)。切换示波器耦合方式(AC或DC),观察示波器波形显示的异同。3)调节信号偏移(1V、1.2V、2.5V),切换示波器耦合方式(AC或DC),观察示波器波形显示的异同。分析信号偏移功能的作用。 4) TTL集成逻辑门(74LS00)的电压传输特性测量。

2017年西北工业大学 874信号系统与数字电路 硕士研究生考试大纲

题号:847《信号系统与数字电路》 考试大纲 一、 考试内容: (一)“信号与系统”复习大纲: 1、信号与系统的基本概念:常用连续信号的时域特征;信号的能量及平均功率;信号的几种重要分解;信号的变换与运算;线性时不变系统基本性质。 2、连续信号频域分析:频谱密度函数的概念;傅立叶变换及反变换的物理含义;常用非周期信号的频谱函数;周期信号的频谱函数;付立叶变换的性质及其应用。 3、连续系统频域分析:频域系统函数H(jω)及其求法;系统频率特性的物理含义;系统零状态响应的频域求解;理想低通滤波器及其特性;信号不失真传输条件;抽样定理及其应用。 4、连续系统复频域分析:拉氏变换及其基本性质;拉氏反变换求解;s域的电路模型和电路定律;线性时不变系统的复频域分析。 5、复频域系统函数H(s):H(s)定义、物理意义、求法及其应用;系统模拟框图与信号流图;系统稳定性判定、系统频率特性及正弦稳态响应的求解;梅森公式及其应用。 6、离散信号与系统时域分析:常用离散信号的时域特征;信号的能量及平均功率;信号的几种重要分解;离散信号时域变换、运算以及卷积求和;线性时不变离散系统的性质;零输入响应、零状态响应、单位序列响应的求解。 7、离散系统Z域分析:Z变换及其基本性质;Z反变换;系统Z域分析;系统函数H(z)定义、物理意义、求法及其应用;离散系统模拟框图与信号流图;离散系统稳定性判定、系统频率特性及正弦稳态响应的求解;梅森公式及其应用。 (二)“数字电路”复习大纲: 1、数制和编码以及逻辑代数基础:常用的数制和编码;二进制算术运算;不同数制间的相互转换;三种基本逻辑运算;逻辑代数的基本定律和定理;逻辑函数的几种描述方式及其相互转化;逻辑函数的化简(基于公式和基于卡诺图)。

西北工业大学_数字电子技术基础_实验报告_实验3

数字电子技术基础第三次实验报告 、描述Quartusll 软件基本使用步骤 ① 编写Verilog 代码,用文本编辑器正确编写源文件 ,并经modelsim 仿真确认该电路 设计正确。 ② 打开Quartusll 软件,新建工程New project (注意工程名和设计文件的 module 名保 持一致),选择和开发板一致的 FPGA 器件型号。 ■■pww n ④编译,Start Compilation ,编译源文件(如有错误修改后,重新编译) 。 I —f 1 UuM-JI 工 HfiaMi ■sm ?MITmHL 1 】it A** 5 Kii atiT^u^ri :i& 1 u ■审3T1 H ? ”峠if - Ik r irM "小"= ③添加文件,点击 file->open,之后选择要添加的文件,并勾选 Add file to current project. ■ 5 r-w in ¥ 口

X. I M ■ tWIR fetawej . MtamM* E?Maa4inrv*nn ? 1 Tl n . ■ EGvi I IPHIWIV E R H F 4- xsffl ECA -iha W M ni WmUAf ⑤查看电路结构,使用 Tool->RTL viewer 工具查看电路图结构,是否和预期设计一致。 rp M. Oi* > 1 JiMiMdaOML lir¥i-*U ■屮剑 f*lM W"M*h 1 $TW

相关文档
最新文档