第5章基本RS触发器

第5章基本RS触发器
第5章基本RS触发器

第5章基本RS触发器

5.同步触发器(同步RS触发器)

目的与要求:

1 掌握时序电路的定义、分类、触发器的特点。

2 掌握基本RS触发器的电路结构、工作原理、逻辑功能。

3 掌握同步RS触发器的工作原理、逻辑功能。

4 掌握触发器逻辑功能的表示方法。

5 掌握时序电路的一些基本概念。

重点与难点:1 基本概念要正确建立。难点:现态、次态、不定状态的正确理解。

2 基本RS触发器的逻辑功能、触发方式。

5.1概述

一、触发器的概念

复习:组合电路的定义?构成其电路的门电路有何特点?组合电路与时序电路的区别?

门电路:在某一时刻的输出信号完全取决于该时刻的输入信号,没有记忆作用。

触发器:具有记忆功能的基本逻辑电路,能存储二进制信息(数字信息)。

触发器有三个基本特性:

(1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维持稳态;

(2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作二进制存储单元。

(3)有两个互补输出端,分别用Q和Q

二、触发器的逻辑功能描述:

特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图(又称时序图)

三、触发器的分类:根据

逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发器等。

触发方式不同:电平触发器、边沿触发器和主从触发器等。

电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。

5.2 触发器的基本形式

5.2.1 基本RS触发器

一、由与非门组成的基本RS触发器

1.电路结构

电路组成:两个与非门输入和输出交叉耦合(反馈延时)。逻辑图如图(a)所示。

逻辑符号如图(b)所示。

与非门组成的基本RS触发器的特性表

二、由或非门组成的基本RS触发器

电路构成:两个或非门的输入和输出交叉耦合而成,如下图所示。逻辑符号:图(b)所示。

工作原理

在与非门实现的基本RS触发器的基础上稍作变化。

或非门组成的基本RS触发器的特性表

5.2.2 同步触发器

基本RS触发器的触发方式:端的输入信号直接控制。(电平直接触

发)

在实际工作中,要求触发器按一定的节拍翻转。

措施:加入时钟控制端CP,触发器的状态翻转按CP节拍。

同步触发器(时钟触发器或钟控触发器):具有时钟脉冲CP控制的触发器。

CP:控制时序电路工作节奏的固定频率的脉冲信号,一般是矩形波。

同步:因为触发器状态的改变与时钟脉冲同步。

同步触发器的翻转时刻:受CP控制

触发器翻转到何种状态:由输入信号决定

一、同步RS触发器

1.电路结构

基本RS触发器 + 两个钟控门G3、G4,如图(a)所示。

逻辑符号:图(b)所示。

钟控端(CP端):时钟脉冲输入端。

2.逻辑功能

工作原理。

当CP=0时,G3、G4被封锁,都输出1,触发器的状态保持不变,同步RS触发器的特性表

3.特性方程

4.状态转换图

触发器从一个状态变化到另一个状态或保持原状不变时,对输入信号(R、S)提出的要求。根据驱动表可画出状态转换图。

圆圈:触发器的稳定状态

箭头:在CP作用下状态转换的情况

标注的R、S值:触发器状态转换的条件。

二、同步D触发器

1.电路结构

为了避免同步RS触发器出现R=S=1的情况,可在R和S之间接入非门G5 ,如下图(a)所示。

逻辑符号:图(b)所示。

2.逻辑功能同步RS触发器的特性表

根据特性表可得到在CP=1时的同步D触发器的驱动表。表同步D触发器的驱动表

三、同步JK触发器

1.电路结构

克服同步RS触发器在R=S=1时出现不定状态的另一种方法:将触发器输出端Q和状态反馈到输入端,这样,G3和G4的输出不会同时出现0,从而避免了不定状态的出现。

J、K端相当于同步RS触发器的S、R端。

电路如图所示。

逻辑符号:图(b)所示。

2.逻辑功能

可将同步JK触发器看成同步RS触发器来分析。有

工作原理。(边分析边列特性表。以下文字不写板书。)

当CP=0时,G3和G4被封锁,保持。

当CP=1时,G3、G4解除封锁,输入J、K端的信号可控制触发器的状态。

同步JK触发器的特性表(CP=1时)

根据特性表可得到在CP=1时的同步JK触发器的驱动表。

同步JK触发器的驱动表

四、同步触发器的空翻

触发器的空翻:在CP为高电平1期间,如同步触发器的输入信号发生多次变化时,其输出状态也会相应发生多次变化的现象。

产生空翻的原因:电平触发方式,在CP高电平期间有效触发

同步触发器由于存在空翻,不能保证触发器状态的改变与时钟脉冲同步,它只能用于数据锁存,而不能用于计数器、移位寄存器和存储器等。

后面将介绍几种没有空翻现象的触发器。

5.3 边沿触发器

为何要用边沿触发器?

同步触发方式存在空翻,为了克服空翻。

边沿触发器只在时钟脉冲CP上升沿或下降沿时刻接收输入信号,电路状态才发生翻转,从而提高了触发器工作的可靠性和抗干扰能力,它没有空翻现象。

边沿触发器主要有维持阻塞D触发器、边沿JK触发器、CMOS边沿触发器等。

以下各边沿触发器的具体电路不详细分析其工作原理,只简单了解即可。因为集成触发器的学习以应用时够用为度,不强调内部电路。

5.3.1 TTL边沿JK触发器

一、电路结构

逻辑符号中“ ”表示边沿触发输入。

加小圆圈:表示下降沿有效触发

不加小圆圈:表示上升沿有效触发

二、逻辑功能

四、JK触发器构成的T触发器和T′触发器

T触发器:具有保持和翻转功能的触发器。

T′触发器:只具有翻转功能的触发器。

1.JK触发器→T触发器

令JK触发器的J=K=T

T触发器特性方程

5.3.2 维持阻塞D触发器

一、电路结构

二、逻辑功能与触发方式

㈠逻辑功能

1.设输入D=1

⑴ 在CP=0时,保持。

因D=1,G6输入全1,输出Q6=0,它使Q4=1、Q5=1。

⑵ 当CP由0跃变到1时,触发器置1。

在CP=1期间,②线阻塞了置0通路,故称②线为置0阻塞线。

③线维持了触发器的1状态,故称③线为置1维持线。

2.设输入D=0

⑴ 在CP=0时,保持。

因D=0,G6输出Q6=1,这时,G5输入全1,输出Q5=0。

⑵ 当CP由0正跃到1时,触发器置0。

在CP=1期间,①线维持了触发器的0状态,故称①线为置0维持线。

④线阻塞了置1通路,故称④线为置1阻塞线。

可见,它的逻辑功能和前面讨论的同步D触发器的相同。因此,它们的特性表、驱动表和特性方程也相同。

㈡触发方式——边沿式

维持阻塞D触发器是用时钟脉冲上升沿触发的。因此,又称它为边沿D触发器。

三、具有直接置0和置1端的维持阻塞D触发器

图(a)所示为上升沿触发的维持阻塞D触发器CT7474的逻辑图。

5.4 主从触发器

1.主从触发器与边沿触发器同样可以克服空翻。

2.结构:主从结构。内部有相对称的主触发器和从触发器。

3.触发方式:主从式。主、从两个触发器分别工作在CP两个不同的时区内。

总体效果上与边沿触发方式相同。

状态更新的时刻只发生在CP信号的上升沿或下降沿。

4.优点:在CP的每个周期内触发器的状态只可能变化一次,能提高触发器的工作可靠性。主从触发器是在同步RS触发器的基础上发展出来的。

各种逻辑功能的触发器都有主从触发方式的,即:

主从RS触发器、主从JK触发器、主从D触发器、

主从T触发器、主从T′触发器。

基本RS触发器原理

基本RS 触发器原理 图4-1(a)是由两个“与非”门构成的基本R-S 触发器,(b)是其逻辑符号。RD 、SD 是两个输入端,Q 及y 是两个输出端。 正常工作时,触发器的Q 和y 应保持相反,因而触发器具有两个稳定状态: 1)Q=1,y=0。通常将Q 端作为触发器的状态。若Q 端处于高电平,就说触发器是1状态; 2)Q=0,y=1。Q 端处于低电平,就说触发器是0状态;Q 端称为触发器的原端或1端,y 端称为触发器的非端或0端。 由图4-1可看出,如果Q 端的初始状态设为1,RD 、SD 端都作用于高电平(逻辑 1),则y 一定为0。如果RD 、SD 状态不变,则Q 及y 的状态也不会改变。这是一个稳定状态;同理,若触发器的初始状态Q 为0而y 为1,在RD 、SD 为1的情况下这种状态也不会改变。这又是一个稳定状态。可见,它具有两个稳定状态。 输入与输出之间的逻辑关系可以用真值表、状态转换真值表及特征方程来描述。 图4 (一)真值表 R-S 触发器的逻辑功能,可以用输入、输出之间的逻辑关系构成一个真值表(或叫功能表)来描述。 1、当RD =0,SD=1时,不论触发器的初始状态如何,y 一定为1,由于“与非”门2的输入全是1,Q 端应为0。称触发器为0状态,RD 为置0端。 2、当RD =1,SD=0时,不论触发器的初始状态如何,Q 一定为1,从而使y 为0。称触发器为1状态,SD 置1端。 3、当RD =1,SD =1时,如前所述,Q 及y 状态保持原状态不变。 4、当RD =0,SD =0时,不论触发器的初始状态如何,Q=y=1,若RD 、SD 同时由0变成1,在两个门的性能完全一致的情况下, Q 及y 哪一个为1,哪一个为0是不定的,在应用时不允许RD 和SD 同时为0。 综合以上四种情况,可建立R-S 触发器的真值表于表1。应注意的是表中RD = SD =0的一行中Q 及y 状态是指RD 、SD 同时变为1后所处的状态是不定的,用Ф表示。 由于RD =0,SD =1时Q 为0,RD 端称为置0端或复位端。相仿的原因,SD 称置

基本RS触发器教案

题目:基本RS触发器教案学科:电子技术姓名:封士江 第一节基本RS触发器 [教学内容]:基本RS触发器。 [教学目标]:(1)了解基本RS触发器的电路组成。 (2)掌握基本RS触发器符号、含义及真值表。 (3)理解基本RS触发器的逻辑功能。 [教学重点]:(1)基本RS触发器符号、含义。 (2)基本RS触发器的真值表。 (3)基本RS触发器的逻辑功能。 [教学难点]:基本RS触发器的逻辑功能。 [课型]:新授课。 [教法]:讲述法。 [课时]:二课时。 教学过程 [组织教学]:精神饱满,维持纪律,开始上课。 [回顾总结]:上节课的最后我们对集成触发器做了简单的介绍,我们已经知道触发器是数字逻辑电路中的另一类基本单元电路。触发具备两种稳定 状态,这两种稳定状态可以分别代表二进制数码0和1。如果外加合 适的触发信号,触发器的状态可以相互转化。这种电路的特点是具 有记忆功能。 [引入课题]:利用集成门电路,可以组成各种触发器。今天我们就从基本RS触发器着手,着重学习触发器的组成和逻辑功能。 [板书]:基本RS 触发器 一.电路组成 将两个与非门的输入、输出交叉相连,组成一个基本RS触发器。 [口述]:如下图中(a)所示,图中G1的输出连到G2的输入端,门G2的输出又反过来送到门G1的输入端。其中/R、/S是两个输入端,Q、 /Q是两个输出端。 [板书]: (a)(b) 通常规定Q端的状态为触发器状态。

Q=0 /Q=1时,称触发器处于“0”态: Q=1 /Q=0时,称触发器处于“1”态。 逻辑功能(工作原理) /R=1,/S=1,触发器保持原来状态不变 [口述]:设电路原来状态为Q=0,/Q=1,既触发器为0态。因为G1的一个输入端Q=0,根据与非门“有0出1”的功能,它的输出/Q=1。而门G2 的二个输入端/S、/Q均为1,由与非门“全1出0”的功能,其输出 Q=0。触发器保持原来状态不变。 [互动]:下面我请一位同学来分析一下若原来状态是Q=1,/Q=0,触发器会出现什么样的状态?(学生互动环节过程省略) 结论:不论触发器原来是什么状态,基本RS触发器在/R=1 /S=1时总 保持原来的状态不变。这就是触发器的记忆功能。 [板书]:2./R =0,/S=1,触发器为0态 [口述]:此时,因/R=0,G1的输出/Q=1,而G2的两个输入端/S、/Q全为1,则输出Q=0。触发器为0态,并且与原来状态无关。(从电路组成图 上分析过程省略) [板书]:3./R=1,/S=0,触发器为1态 [口述]:由于/S=0,G2的输出Q=1。这时G1的两个输入端均为1,所以/Q=0。 触发器为1态,同样与原来的状态无关。(从电路组成图上分析过程 省略) [板书]:4./R=0,/S=0,触发器状态不定 [口述]: 这时,Q=1,/Q=1。破坏了前述有关Q与/Q互补的约定,是不允许的。 而且,当/R、/S的低电平触发信号消失后,Q与/Q的状态将是不确 定的。这种情况应当避免。 三.真值表 1.基本RS触发器的电路组成。 2.基本RS触发器的工作原理。 ○1/R=1,/S=1,触发器保持原来状态不变 ○2/R =0,/S=1,触发器为0态 ○3/R=1,/S=0,触发器为1态 ○4/R=0,/S=0,触发器状态不定 3.基本RS触发器的真值表。 五.作业 1.简述RS触发器的逻辑功能。(写到作业本上) 2.预习同步RS触发器的有关知识。

同步RS触发器电路结构、工作原理及功能表示

同步RS 触发器电路结构、工作原理及功能表示 1.电路结构 主从RS 触发器是时钟触发器的一种。由与非门构成的时钟RS 触发器电路结构如图8.9所示,CP 为时钟脉冲输入端。 1S C1S CP Q Q 1R R (a)同步RS 触发器电路 (b )逻辑符号 图8.9 同步RS 触发器 2.功能分析 当CP =0时,G 3、G 4门关闭,不论R 、S 如何变化,触发器输出保持不变。 而CP =1时,R 、S 端的信号经与非门反相后引到基本RS 触发器的输入端,此时触发器输出由R 、S 及CP 决定。S =0、R =1时,S =1、R =0,Q =1,反馈到G 1门使Q =0,即不论触发器原态是0态还是1态,电路的输出一定为0;S =1、R =0时,S =0、R =1,Q =1,反馈到G 2门使Q =0,即不论触发器原态是0态还是1态,电路的输出一定为1;S =0、R =0时,S =1、R =1,触发器的状态将保持不变。 S =1、R =1时,S =0、R =0,使Q =1、Q =1,破坏了输出信号互补的原则,而随后S =0、R =0时,输出状态可能是1也可能是0,出现了不定状态,这在触发器工作时是不允许出现的。 R 、S 控制输出状态转换,CP 控制何时发生状态转换。时钟RS 触发器是在CP =1时发生状态转换,称为高电平触发。 3.功能表示方法 (1)功能表 时钟RS 触发器的功能表如表8.3。其功能与基本RS 触发器功能相似,但在CP =1到 Q & & G 1 G Q S R & G 3 & G 4 S R

来时状态才能变化。Q n 为CP 脉冲到来前触发器的状态,称为现态,Q n+1为CP 脉冲到来后触发器的状态,称为次态。 表8.3 RS 触发器的功能表 (2)特征方程 表示触发器次态与触发器输入及现态的逻辑关系式称为触发器的特征方程。 根据功能表画出卡诺图,如图8.10,经过化简,得到时钟RS 触发器在CP =1时的特征方程: n n Q R S Q +=+1;RS =0约束条件 RS =0为约束条件,表示S 、R 不能同时为1。 图8.10 时钟RS 触发器卡诺图 (3)状态转换图 用两个圆表示触发器的两种稳态0和1。箭头表示由现态到次态的转换方向,箭尾表示原态,箭头线上的数字标注出了原态转换成次态所需的触发条件。如图8.11所示。 图8.11 时钟RS 触发器状态转换图 (4)波形图 触发器的功能可以通过输入输出波形表示。图8.12为RS 触发器的波形图。 R S Q n Q n+1 功能说明 0 0 0 0 0 1 0 1 保持 0 0 1 1 0 1 1 1 置1 1 1 0 0 0 1 0 0 置0 1 1 1 1 0 1 不定 不定 禁止 Q n RS 0 1 10 11 00 01 0 1 × 0 1 1 × ×0 01 10 0× 1

RS触发器的工作原理

斯密特触发器 斯密特触发器波形图 [1] 斯密特触发器又称斯密特与非门,是具有滞后特性的数字传输门。该器件既可以像普通“与非”门那样工作, 也可以接成斯密特触发器来使用。斯密特触发器具有如下两个特点: 1、电路具有两个阈值电压,分别称为正向阈值电压和负向阈值电压; 2、与双稳态触发器和单稳态触发器不同,斯密特触发器属于“电平触发型”电路,不依赖于边沿陡峭的脉冲。 它是一种阈值开关电路,具有突变输入——输出特性的门电路。这种电路被设计成阻止输入电压出现微小变化(低于某一阈值)而引起的输出电压的改变。当输入电压由低向高增加,到达V+时,输出电压发生突变,而输入电压Vi由高变低,到达V-时,输出电压发生突变,因而出现输出电压变化滞后的现象,可以看出对于要求一定延迟启动的电路,它是特别适用的。 斯密特触发器原理图 [2] 而从IC内部的逻辑符号和“与非”门的逻辑符号相比就略有不同,它增加了一个类似方框的图形,该图形正是代表斯密特触发器一个重要的滞后特性。滞后特性是指当把输入端并接成非门时,它们的输入、输出特

性是:当输入电压V1上升到VT+电平时,触发器翻转,输出负跳变;过了一段时间输入电压回降到VT+电平时,输出并不回到初始状态而需输入V1继续下降到VT-电平时,输出才翻转至高电平(正跳变),用公式:VT+—VT-=△VT 表示,△VT称为斯密特触发器的滞后电压。△VT与IC的电源电压有关,当电源电压提高时,△VT略有增加,一般△VT值在3V左右。因斯密特触发器具有电压的滞后特性,常用它对脉冲波形整形,使波形的上升沿或下降沿变得陡直;有时还用它作电压幅度鉴别,在数字电路中它也是很常用的器件。 电路结构斯密特触发器 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。 工作原理 基本RS触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R端无效,S端有效时,则Q=0,Q=1,触发器置1。 2.当R端有效、S端无效时,则Q=1,Q=0,触发器置0。 如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的Q端的状态。Q=1、Q=0时,称触发器处于1态,反之触发器处于0态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。 同理,称R端为置0端或复位端。若触发器原来为1态,欲使之变为0态,必须令R端的电平由1变0,S端的电平由0变1。这里所加的输入信号(低电平)称为触发信号,由它们导致的转换过程称为翻转。由于这里的触发信号是电平,因此这种触发器称为电平控制触发器。从功能方面看,它只

基本RS触发器实验

第5章基本RS触发器 5.同步触发器(同步RS触发器) 目的与要求: 1 掌握时序电路的定义、分类、触发器的特点。 2 掌握基本RS触发器的电路结构、工作原理、逻辑功能。 3 掌握同步RS触发器的工作原理、逻辑功能。 4 掌握触发器逻辑功能的表示方法。 5 掌握时序电路的一些基本概念。 重点与难点:1 基本概念要正确建立。难点:现态、次态、不定状态的正确理解。 2 基本RS触发器的逻辑功能、触发方式。 5.1概述 一、触发器的概念 复习:组合电路的定义?构成其电路的门电路有何特点?组合电路与时序电路的区别? 门电路:在某一时刻的输出信号完全取决于该时刻的输入信号,没有记忆作用。 触发器:具有记忆功能的基本逻辑电路,能存储二进制信息(数字信息)。 触发器有三个基本特性: (1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维持稳态; (2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作二进制存储单元。 (3)有两个互补输出端,分别用Q和Q 二、触发器的逻辑功能描述: 特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图(又称时序图) 三、触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。 5.2 触发器的基本形式 5.2.1 基本RS触发器 一、由与非门组成的基本RS触发器 1.电路结构 电路组成:两个与非门输入和输出交叉耦合(反馈延时)。逻辑图如图(a)所示。 逻辑符号如图(b)所示。

RS触发器

基本触发器的设计 预备知识:RS触发器是一种基本的触发器 一触发器 1触发器的概念 触发器:具有记忆功能的基本逻辑电路,能存储二进制信息(数字信息)。 触发器有二个基本特性: ( 1 )有两个稳态,可分别表示二进制数码 0 和 1 ,无外触发时可维持稳态; 触发器的两个稳定状态 ①Q=1,通常将Q端作为触发器的状态。若Q端处于高电平,就说触发器是1状态; ②Q=0,Q端处于低电平,就说触发器是0状态;Q端称为触发器的原端或1端,端称为触发器的非端或0端。 ( 2 )外触发下,两个稳态可相互转换(称翻转),已转换的稳定状态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作二进制存储单元。 (3 )触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器。 二、RS触发器的知识 1 基本RS触发器原理 图2-1是由两个“与非”门构成的基本R-S触发器。RD、SD是两个输入端,Q及Qn是两个输出端。 图2-1 RS触发器

2 稳定状态 正常工作时,触发器的Q和Qn应保持相反,因而触发器具有两个稳定状态: ①Q=1,Qn=0。通常将Q端作为触发器的状态。若Q端处于高电平,就说触发器是1状态; ②Q=0,Qn=1。Q端处于低电平,就说触发器是0状态; Q端称为触发器的原端或1端,Qn端称为触发器的非端或0端。 3 真值表 R-S触发器的逻辑功能,可以用输入、输出之间的逻辑关系构成一个真值表(或叫功能表)来描述。 ①当RD=0,SD=1时,不论触发器的初始状态如何,Qn 为1,由于“与非”门2的输入全是1,Q端应为0。称触发器为 状态,R D为置0端 ②当RD =1,SD =0时,不论触发器的初始状态如何,Q 为1,从而使Qn为0。称触发器为1状态,SD置1端。 ③当RD =1,SD =1时,如前所述,Q及Qn 态不变。 4 当RD =0,SD =0时,显然,在此条件下,两个与非门的输 出端Q,Qn全为1,若RD、SD同时由0变成1 完全一致的情况下, Q及Qn究竟哪一个为1,哪一个为0是不 定的,因此称这种情况为不定状态,在应用时不允许RD和SD同时为0。归纳:由上面的分析我们得出如表2-1的真值表 5 RS触发器逻辑表达式 为约束条件 该逻辑表达式也称为触发器的特性方程

基本RS触发器工作原理

基本RS触发器工作原理 基本RS触发器工作原理 基本RS触发器的电路如图1(a)所示。它是由两个与非门,按正反馈方式闭合而成,也可以用两个或非门按正反馈方式闭合而成。图(b)是基本RS触发器逻辑符号。基本RS触发器也称为闩锁(Latch)触发器。 (a) (b) 图1 基本RS触发器电路图和逻辑符号 定义A门的一个输入端为R d端,低电平有效,称为直接置“0”端,或直接复位端(Reset),此时S d端应为高电平;B门的一个输入端为S d端,称为直接置“1”端,或直接置位端(Set),此时R d端应为高电平。我们定义一个与非门的输出端为基本RS触发器的输出端Q ,图中为B门的输出端。另一个与非门的输出端为Q 端,这两个端头的状态应该相反。因基本RS触发器的电路是对称的,定义A门的输出端为Q端,还是定义B门的输出端为Q端都是可以的。一旦Q端确定,R d和S d端就随之确定,再不能任意更改。 2 两个稳态 这种电路结构,可以形成两个稳态,即 Q=1,Q=0,Q=0,Q =1 当Q=1时,Q=1和R d=1决定了A门的输出,即Q=0 ,Q=0反馈回来又保证了Q=1 ;当Q=0时,Q=1,Q=1和S d=1决定了B门的输出,即Q=0,Q=0又保证了Q =1 。 在没有加入触发信号之前,即R d和S d端都是高电平,电路的状态不会改变。 3 触发翻转 电路要改变状态必须加入触发信号,因是与非门构成的基本RS触发器,所以,触发信号是低电平有效。若是由或非门构成的基本RS触发器,触发信号是高电平有效。

R d和S d是一次信号,只能一个一个的加,即它们不能同时为低电平。 在R d端加低电平触发信号,R d =0,于是Q =1 ,Q =1和S d=1决定了Q=0 ,触发器置“0”。R d是置“0”的触发器信号。 Q=0以后,反馈回来就可以替代R d=0的作用,R d=0就可以撤消了。所以,R d不需要长时间保留,是一个触发器信号。 在S d端加低电平触发信号,S d=0,于是Q=1 ,Q=1和R d=1决定了Q=0 ,触发器置“1”。但Q=0 反馈回来,S d=0才可以撤消,S d是置“1”的触发器信号。 如果是由或非门构成的基本RS触发器,触发信号是高电平有效。此时直接置“0”端用符号Rd;直接置“1”端用符号Sd。 4 真值表和特征方程 以上过程,可以用真值表来描述,见上表。表中的Q n和Q n表示触发器的现在状态,简称现态;Qn+1和Qn+1表示触发器在触发脉冲作用后输出端的新状态,简称次态。对于新状态Qn+1而言,Qn也称为原状态。 上表真值表表中Qn=Qn+1表示新状态等于原状态,即触发器没有翻转,触发器的状态保持不变。必须注意的是,一般书上列出的基本RS触发器的真值表中,当R d =0、S d=0时,Q 的状态为任意态。这是指当R d、S d同时撤消时,Q端状态不定。若当R d=0、S d =0时,Q =1,状态都为“1”,是确定的。但这一状态违背了触发器Q端和Q端状态必须相反的规定,是不正常的工作状态。若R d、S d不同时撤消时,Q端状态是确定的,但若R d、S d同时撤消时,Q端状态是不确定的。由于与非门响应有延迟,且两个门延迟时间不同,这时哪个门先动做了,触发器就保持该状态,这一点一定不要误解。但具体可见例1 。 把上表所列逻辑关系写成逻辑函数式,则得到

RS触发器工作原理

电路结构 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。 工作原理 基本RS触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R端无效,S端有效时,则Q=0,Q=1,触发器置1。 2.当R端有效、S端无效时,则Q=1,Q=0,触发器置0。 如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的Q端的状态。Q=1、Q=0时,称触发器处于1态,反之触发器处于0态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。 同理,称R端为置0端或复位端。若触发器原来为1态,欲使之变为0态,必须令R端的电平由1变0,S端的电平由0变1。这里所加的输入信号(低电平)称为触发信号,由它们导致的转换过程称为翻转。由于这里的触发信号是电平,因此这种触发器称为电平控制触发器。从功能方面看,它只能在S和R的作用下置0和置1,所以又称为置0置1触发器,或称为置位复位触发器。其逻辑符号如图7.2.1(b)所示。由于置0或置1都是触发信号低电平有效,因此,S端和R端都画有小圆圈。 3.当RS端均无效时,触发器状态保持不变。 触发器保持状态时,输入端都加非有效电平(高电平),需要触发翻转时,要求在某一输入端加一负脉冲,例如在S端加负脉冲使触发器置1,该脉冲信号回到高电平后,触发器仍维持1状态不变,相当于把S端某一时刻的电平信号存储起来,这体现了触发器具有记忆功能。 4.当RS端均有效时,触发器状态不确定 在此条件下,两个与非门的输出端Q和Q全为1,在两个输入信号都同时撤去(回到1)后,由于两个与非门的延迟时间无法确定,触发器的状态 不能确定是1还是0,因此称这种情况为不定状态,这种情况应当避免。从另

基本RS触发器逻辑功能测试

实训九基本R-S触发器功能测试 一、实训目的 1.通过实训熟悉基本RS触发器的逻辑功能和特点; 2.通过实训掌握基本RS触发器的测试方法; 3.通过实训熟悉异步输入信号RD、SD、RD、SD的作用; 4.通过实训掌握基本RS触发器的典型应用; 二、实训原理 基本RS触发器是由两个与非门交叉耦合组成,它是最基本的触发器,也是构成其它复杂触发器电路的一个组成部分。当R D=S D=1时,两个与非门的工作都尤如非门,Q接至与非门G2的输入,使G2输出为Q;Q接至与非门G1的输入,使G1的输出为Q。从而使触发器维持输出状态不变。 三、实训仪器和设备 S303-4型(或其它型号)数字电路实训箱一只; SR8(或其它型号)双踪示波器一只; 直流稳压电源一台; 74LS00 二输入四与非门 1片。 四、实训内容和步骤 1.两个TTL与非门首尾相接构成的基本R-S触发器的电路如图7-2-1所示逻辑电路。 图 9-1 基本R-S触发器功能测试 2.按表9-1所示的顺序在Sd、Rd两端信号,观察并记录R-S触发器Q端的状态,并将结果填入表9-1中 表9-1

3.Sd 4.Sd端接高电平,Rd端加脉冲。 5.令Sd=Rd,在 Sd端加脉冲。 6.记录并观察2、3、4三种情况下,Q,Q n+1端的状态。从中总结基本R-S触发器的Q 端的状态改变和输入端的关系。 五、实训思考题 试根据基本R-S触发器给定的输入信号波形画出与之对应的输出端的波形; 试写出基本R-S触发器的约束方程,并说明哪个是复位端、哪个是置位端 六、训注意事项 接线时要注意电路图中各引脚的编号,连接时不要接错; 手动施加0、1输入电平时要注意开关动作的稳定性和可靠性,要避免开关的抖动; 用双踪示波器观察输出波形时,要注意选择一个较为合适的输入信号的频率。 实训十. 计数器的功能测试 一、实训目的 1.掌握计数器的工作原理; 2.通过实训熟悉计数器的功能特点和典型应用; 3.通过实训掌握如何利用现有集成计数器来构成N进制计数器的方法。 二、实训原理 计数器是一种含有若干个触发器、并按预定顺序改变各触发器的状态来累计输入脉冲个数的数字电路,被广泛应用于定时、分频及各种数字电路中。用JK触发器设计一个四位异

相关文档
最新文档