简易洗衣机控制器的设计与制作

简易洗衣机控制器的设计与制作
简易洗衣机控制器的设计与制作

题目:简易洗衣机控制器的设计与制作

专业班级:_______________ 班

学生姓名:学号:

指导老师:审批:

任务书下达日期2007年1月8日星期一

设计完成日期2007年1月19日星期五

设计内容与设计要求

一、设计内容

设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:

1.

定时到

洗衣机电机的工作顺序:

启动---- >正转20s -- >暂行10s --- >反转20s ---- >暂行10s --- >停止

定时未到

2.用4个LED模拟洗衣机的动作状态:LED1?LED4右移循环点亮表示正转,LED1?LED4左移循环点亮表示反转,LED1?LED4同时闪烁点亮表示暂停,全灭为停止。

3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发岀音响信号报警。

4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。

5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。

二?设计要求:

1.设计思路清晰,给岀整体设计框图;

2.设计各单元电路,给岀具体设计思路、电路器件;

3.总电路设计;

4.安装调试电路;

5.写岀设计报告;

主要设计条件

1.提供直流稳压电源、信号源、示波器等仪器;

2.提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。

3.提供电子综合实验装置。

说明书格式

1、课程设计封面;

2、课程设计任务书;

3、说明书目录;

4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图);

6、总电路设计(总电路图);

7、安装、调试步骤;

8、故障分析与电路改进;

9、总结与设计调试体会;

10、附录(元器件清单);

11、参考文献;

12、课程设计成绩评分表。

注意:课程设计报告说明书要求用16开纸打印!

进度安排

第一周:

星期一上午安排任务、讲课。

星期一?星期二:查资料、设计

星期三上午:领元件〈四楼电子综合实验室〉

星期三?星期五单元电路设计和仿真、调试电路;

第二周:

星期一?星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

参考文献

《电子技术课程设计》历雅萍、易映萍编

《电子技术课程设计指导》彭介华主编高等教育岀版社

《电子线路设计、实验、测试》谢自美主编华中理工岀版社

目录

一?设计总体思路,基本原理和框图 (1)

1.设计总体思路 (1)

2.基本原理 (1)

3系统设计框图 (2)

二.单元电路设计 (3)

1.一百进制分计数器和六十秒计数器的设计 (3)

(1)分、秒计数器的设计 (3)

(2)分、秒计数器的电路图 (4)

2.秒脉冲发生器 (6)

(1)秒脉冲发生器原理 (6)

3循环控制电路 (7)

(1)其基本原理简述 (7)

(2)其原理图 (9)

4.单稳态延时电路 (10)

5。总控制电路 (11)

三。总电路图 (14)

四。安装调试步骤 (15)

五。故障分析与电路改进 (16)

六。总结与调试体会 (19)

七。附录(元器件清单).20

八。参考文献 (21)

电气与信息工程系课程设计评分表 (22)

一?设计总体思路,基本原理和框图

1.设计总体思路

从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没又电机给我们接上,这回要用

四哥LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来, 周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是

有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存

器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。

看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工

作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194来实现;

另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、岀成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194 一定可以很容易实现。现在大体上就这样计划,下面说说基本原理。

2.基本原理

首先,从秒脉冲岀来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化岀来的信号进入移位寄存器后,LED灯表示岀电机运转状态;当用户

设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

3.系统设计框图

如下所示:

.单元电路设计

1.一百进制分计数器和六十秒计数器的设计

(1)分、秒计数器的设计

一百进制分计数器和六十秒计数器的原理是一样的,不同的只是它们的输入脉冲和进制不同而已,

我们用四片74LS192来实现分计数和秒计数功能,我们要的只是减计数,所以我们把它的UP端接到

高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN 联在一起。当秒脉冲从秒位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO会发岀一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5, —直到变为0;当高低位全为零的时候,秒十位的BO发岀一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完成并行置数,下一个DOWN脉冲来到时,计数器进入下一个循环减计数工作中。

对于分计数来说,道理也是一样的;只是要求,当秒计数完成了,分可以自动减少,需要把秒十位

的借位端BO端接到分计数的DOWN端作为分计数的输入信号来实现秒从分计数上的借位。当然,这些计数器工作,其中的清零端CR要处于低电平,置数端不置数时要处于高电平。这是一个独立工作的最高可以显示101分钟的计时器。把四个192的QA/QB/QC/QD都接到外部的显示电路上就可以看到时间的显示了。作为洗衣机控制器的一个模块,它还得有一定的接口来和其他的模块连接在一起协调工作,分计数的清零端LD是接在一起的;秒的清零端LD又是接在一起的,所以当要从外部把它们强制清零时,可以用一个三极管(NPN)或者两个或门就可以实现该功能。还有我们可以利用分计数的UP端来进行外部置数,当把它们各接到一个低触发(平时保持高电平,外部给一个力就输入一个低电平)的脉冲上就可以实现从0—9的数字输入。

(2)分、秒计数器的电路图

其图如下:

秒脉冲发生器'」

?—. 1!阳泗

2.

(1)秒脉冲发生器原理

我们搜需要的秒脉冲发生器可以由一个集成的555定时器构成,当电源接通后,VCC通过对R1、R2

向电容充电。电容上得到电压按指数规律上升,当电容上的电压上身到2/3VCC时,输电压V0为零,

电容放电。当电压下降到1/3VCC时,输岀电平为高电平,电容放电结束。这样周而复始便形成了振荡。我们要的周期是1秒,频率是1赫兹。周期T可以由下面的公式可知:

T= R1.R2I nC

(2)其原理图如下所示

3循环控制电路

(1)其基本原理简述

还是采用我们方法,把秒十位上的数提岀来作为循环控制系统的输入信号,秒位上的都是相同的,

可以不管。我们的目标是把秒十位上输岀的二进制数转化成两位三个数:

So S1 状态

1 0 右移

1 1 闪烁

0 1 左移

5 0101 4 0100

3 0011 2 0010

1 0001 0 0000

现在我们把192的QA、QB接上一个异或门,QC接上一个反相器,然后把它们岀来的信号接到一个与非门后再接到So 端,把反相器岀来的信号输入到S1端;这样就实现了上述要求。当我们开机时,计数器时被清零的,QA、QB、QC没有输岀,这时输岀的是000,194的So、S1为11,移位寄存器置数为0010。当192输岀的是0101时,So、S1为10,移位寄存器右移动作,因为So、S1的两端接一个与非门岀1,再通过一个接在194QB端的与门,结果输岀来到发光二极管的还是高电平(如下图所示);从节点46输岀,经过反相器到达节点48的是低电平与门U28没有输岀脉冲,所以194做右移直到下一个状态的到来。显然当192的输岀是0100是也是一样的。当输岀是0011时,So、S1的状态是11,194处于并行置数,其QB端输岀一个高电平1,与此同时,从So、S1输岀的两个1进入与非门U27,但是在节点46是一个低电平0,所以节点44没有高电平输岀。而节点46为高电平1,这时通过U28的脉冲信号可以输岀了,与U28的或门U22、U23、U24、U25、U26就可以输岀脉冲信号到发光

二极管实现闪烁;当192输岀的是0010时,So、S1状态从11变为01,移位寄存器192做左移循环,在

脉冲的输入下。同右移一样,从So、S1输岀到U27再岀来的是一个高电平1,所以与门U26输岀高

电平;当计数器192输岀的是0001时,还是和0010时一样;最后当192输岀是0000时,其又和输岀0011时一样,移位寄存器194处于置数状态,放光二极管闪烁。到此,提取信号、循环电路完成。(2)其原理图

4.单稳态延时电路

其原理图

5。总控制电路

现在各单元电路完成了,最后要把它们有效的结合起来联合工作,实现目的功能。我们要求在给分 钟置数的同时秒要显示为零;外部还要有强制停止并清零;还有暂停功能。

对于置数来说,我们可以在分钟计数器的 UP 端到高电平之间各用一个开关接上,就当给它一个低

电平时,计数器就往上增加1。但时,192要求在UP 端工作的同时,其DOWN 端要为高电平,且秒 计数器要为零,我们可以让秒计数器清零,同时把脉冲停止了。在高电平上接上一个开关,让它接

到一个JK 触发器上,同时把JK 触发器的JK 端接1,让它实现触发功能。让它的 Q 端输岀到秒计数 器的清零端,这样刚

开机或者再按一下开机键就可以对秒计数器清零。把 JK 触发器的Q 反端和从

分计数器借位端Bo 反相出来的信号接到一个与非门上,从与非门出来的信号接到分计数器的

DOWN 端来保证置数的时候DOWN 是高电平。但是如果仅是这样的话,当置数完成再一次按开机 键(如图中的J3所示)

时,没有脉冲信号输入到秒计数器的 DOWN 端,192并不可以工作。我们可 以把脉冲和JK 触发器Q 反端接到一个与门上,然后把它上输岀端接到秒计数器的

DOWN 端以控制

计数 先歇息一下。现在的问题是,循环的发光二极管没有受到控制键的控制,所以还得把受到控制的从 与门U12岀来的

vcc

vcc "s M3

53

J5

C1 二:伽F

C2

半匸DuF

{]

1.5 V

信号输岀到移位寄存器194的时钟信号CLK上。

最后要解决一个大问题,当所置的洗衣时间完成后,要发岀报警并自动清零。至于报警电路我们知道当计数器全为零的时候,从秒位会发岀一个借位信号,一直接到十分位上去,十分位会发岀一个借位信号,我们可以用这个信号来作为报警并清零的信号,平时192的借位端保持的是高电平,当有

借位信号时,其变成0,我们在分十位借位端接一个非门,再把它和分位的CLR端一起接到一个与

门,也需要把它接到一个JK触发器(U10)上作为其时钟信号,其后再接到单稳态电路的输入端

TRI,单稳态的输岀端接到蜂鸣器上。而该与门(U14)的另一输入端接在控制开关J3上,与门岀去仍然接在JK触发器U9上,这样当洗衣时间完成后,十分计数器的借位端Bo端发岀的0信号就可以

经过以上路径而变成1到达与门U14,同时JK触发器U10得到一个触发信号而输岀到单稳态,从而发岀报警声,但一段时间后其自动停止。同时U14发岀的1信号使U9发岀1信号而使秒计数器清零;

当然秒脉冲因为U9端的Q反端的0信号而使其没有输岀,这样原来闪烁的灯不再亮了。到此,一个电路总算还可以了吧,我们有时还需要让它休息一下,我们改变一下洗衣量时,就还需要一个暂停键,这也可以的,只要把秒脉冲切断就可以了。我们可以在控制脉冲输岀的与门U12和脉冲到达端

之间接入一个由开关控制的JK触发器来控制的与门,这样就可以控制脉冲的输岀了。我们知道与门是其中一输入为零时,无论另一端时怎样的其输岀为零,但一端为1时,另一端输入什么与门就可以

输岀什么。

现在控制端也连起来了,这样,一个完整的洗衣机控制电路就完成了

三。总电路图

四。安装调试步骤

虽然软件设计是非常重要的,但是还是纸上谈兵啊,真正要到了安装调试的时候,这又是一个另一

个比设计还头痛的事,我们说它令人头痛事有原因的,按理是通的,但调试不一定可以岀来,甚至于设计是错误的,调试是设计的试金石啊!到我们调试的时候,实验室里的人已经不多了,当拿到试验箱的时候才相信调试是很有难度的了,虽然之前从同学那听到了一些说调试很难的话;一看试验箱,完了,产生脉冲的电路上连芯片也不见了,换吧,第二块来了,把领来的元件按单元电路模块大致分了一下插在了面包板上。两个人一组,一个读图指导,一个看芯片管脚插线,速度还是不错啊,听其他同学说,芯片管脚和线路总是接触不良,每次将要成功的时候,不小心碰了一下线就功亏一篑。对这个问题,我们组的胖子把自己的电烙铁也带去了,没办法只能是把芯片管脚加长,这样我们在调试的时候可以说没有遇到这方面的问题了,现在面包办上的芯片接好了,可以加电看结果了,可是,秒计数器输岀的一个总是只有偶数,真奇怪了,刚才在前一块上显示是很正常的啊,换了一个数码管还是一样,真是不爽啊!换,继续换,最后终于找到了一个可以用的试验箱了,现在电机转动显示的发光二极管还是不循环,但都是亮的,计数器倒是可以正常工作了,经过几个开关的使用,走上了正规。循环显示灯还是不走,难道脉冲有问题?把194的时钟信号接到LED上一

看是正常的,突然一个同学说或门74LS32如果输入端悬空则输岀为1,难道是这儿岀问题了吗?我们当初设计的时候所认为的或门是悬空就为0的,这回给反了。我们给愣住了,重新设计吗?时间来不及了啊,我们组已经只有两天就要完全验收了,我看还是拆了,等设计好了循环电路重新再连接吧,就在这时我们组的其他一同学结果岀来了,电路没有问题,问题是岀在移位寄存器194的并行置数端

的A、C、D是悬空的,没有有接地,真牛,接上看看,事实证明它是正确的。当然,我们不象其他同学一样,一个个芯片或一个个模块的调试了再接上,那是建立在两个周的时间我们花了8天设计而

成的结果的自信上的,还有把芯片管脚加长也是我们首先采用的,这些免去了我们意想不到的麻烦, 很多同学的电路其实都是可以的,就一个器件管脚有问题全功尽弃,所以,在调试的过程中,要是仅依靠设计那是没什么结果的,设计软件毕竟是理想的。

五。故障分析与电路改进

要得到一个良好的设计,需要的总是肯定和否定,几经修改一个电路才得以肯定、采纳。就象循环电路一样,刚开始设计了一种有三个接口的电路,这个接口要求从秒十位输岀的信号要化为一种状态,只可以是高有效,到来时进入各自的接口,可以说实现正传、反转、暂停的电路相互独立的,道理如下,当一个高电平来到时让它接到194的So、S1上,其中在接到So的信号上接一个RS触发器,当B端的置数输岀时,QB上有一个高电平,把它接到一个D触发器上,D触发器从Q反端输岀的信号接到刚才的RS触发器的Q端使Q端为0,这样在脉冲的控制下,其可以做左移动作,如图中的开关1所连接的电路所示,同样,在输入到S1端的电路上接一个RS触发器,从194QB端上反馈回来的信号接到D触发器上,从D触发器的Q反端岀来的信号接到S1端,这样就可以做右移动作,如图开关2所示。循化要单独设计,如图所示,二极管是保证各个模块间可以独立工作而采用,这样当高电平到达时,194被置数为0000,同时上一个状态,不管时左移的还时右移的都被清零了,这时只要开关1或2接上就可以在脉冲作用下实现闪烁,单个模块演示还时可以的。但是接到电路上就不行了。当开机后,在第一分钟内,它可以右移,也可以闪烁,到了左移就不行了,发光二极管一片空白,但是在接下来的个分钟内,其只有当闪烁时才可以看到。几经试验才知道,时开关3岀了问题,不管它时1状态还是0状态,它都比194Q端岀来的数优先,在3没有工作时,在194Q端到LED之间的二极管两端各接一个LED就知道,当194做右移或左移时两个发光二极管的状态时不通的,靠近循环LED的那各LED总不会亮,而接在QB端的LED可以定时发亮,从而有上述结论。

其图如下所示:

六。总结与调试体会

经过了两周的课程设计,深有感触啊。我们组直到下一个星期的星期二才设计完成,其他组的同学

有的在第一个周就有做完的了,每天一直搞到凌晨 3-4点那时家常便饭了,每天都在想周这个问题

该如何解决,那个地方有什么不对;大家都急啊,千呼万唤经过近 8天岀来了,第二天,赶快去领元

——T

II

r

U

1 41

黔'

評 R 歿谿 ._X

#

TFF W F

+■

4丄

I

u

対生M< 崔(B 已西瓦

?輕口禎驚

W 忖咤 笑飯般m

TRW

口eg 过A H

器件进行调试,吃饭也在外面,吃完就直接去调试,这么各小事就搞得大家这样,可以想象搞开发是如何的不容易了,工作更是不简单,生活不是我们在寝室里所想象的那样,首先自己的事情主要还是靠自己,虽然合作也同样重要,有一个好的合作伙伴也是不容忽视的,现在的很多工程、项目或者开发都是一个团体共同努力,每一个成员都要用功,一个项目或课题决定在几个人手里,那是不健康的、没有什么刚好的前途的,从本次课程设计的目的来看,收获也是不少的,它使我们对课本以及以前学过的知识有了一个更好的总结与理解,也让我们知道了,光分开使不够的,从外面学到的知识要把形成一各整体,这也很好的训练了外面对开发一个项目应该有怎样的思路和准备更清晰。来学校三年了,无论是从C语言课程设计到金工实习,还是从电子实习到现在的数电课程设计,

每一次自己都觉得有一个进步,虽然有些方面自己做不岀,但可以从老师和其他同学那里学到更好更多的东西,他们从另一个方面透析了自己的困难,这是很重要的,它让我学会了怎样学习别人的长处并把它变成自己的长处。有这样的机会和收获,要感谢老师的辅导以及同学的帮助,是他们让自己有了一个更好的认识,无论是学习还是生活,生活是实在的,要踏实走路,虽然自己走岀来的路要崎岖的多,但觉得踏实、放心。

七。附录(元器件清单)

器件型号用途介绍数量

74LS192 计数器 4

74LS194 移位寄存器 1

74LS08 四2输入与门 2

74LS04 六反向器 1

74LS32 四2输入或门 2

74LS00 四2输入与非门 2

74LS86 四2输入异或门 1

74LS76 双JK触发器 2

八。参考文献

《电子技术课程设计》历雅萍、易映萍编

《电子技术课程设计指导》彭介华主编高等教育岀版社

《电子线路设计、实验、测试》谢自美主编华中理工岀版社。

《数字电子技术基础》阎石主编高等教育岀版社

电气与信息工程系课程设计评分表

项目评价

设计方案的合理性与创造性

硬件制作或软件编程完成情况

硬件制作测试或软件调试结果

设计说明书质量

设计图纸质量

答辩汇报的条理性和独特见解

答辩中对所提问题的回答情况

完成任务情况

独立工作能力

组织纪律性(岀勤率)

综合评分

指导教师签名:___________________

日期:___________________

注:①表中标*号项目是硬件制作或软件编程类课题必填内容;

②此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

全自动洗衣机控制器

实验四全自动洗衣机控制器 一、实验目的 1.学习掌握全自动洗衣机的控制原理。 2.掌握基于有限状态机的控制电路设计方法。 二、预习要求 1.预习全自动洗衣机的控制原理和基于有限状态机的控制电路的设计方法。 2.画出洗衣机控制器包括不同洗衣模式的完整的状态转移图。 3.用Verilog HDL语言编程实现全自动洗衣机控制器,并进行时序仿真。 4.对顶层设计文件进行引脚锁定。 三、实验要求 1.设计一个全自动洗衣机控制器电路,实现对洗衣机的全自动控制。 根据全自动洗衣机的控制原理设计一个控制电路,使之能够控制全自动洗衣机完成整个工作过程。洗衣机工作过程分为两种情况: (1)全部自动完成 当按下复位按钮时,洗衣机上电,控制电路复位到初始状态(默认水位为“中”);使用者可根据衣服的多少,按下水位控制按钮,改变水位设置,以控制上水时加水的多少;当按下启动/暂停按钮时,洗衣机开始洗衣的第一个操作:进水阀门打开,开始上水,并根据水位设置(高、中、低、少)历时不同的时间timeadd(8s、7s、6s、5s);然后进水阀门关闭,电机开始运转,开始洗衣过程,并历时9s;然后电机停止运转,排水阀门打开,开始排水,并根据水位设置(高、中、低、少)历时不同的时间timedrain(7s、6s、5s、4s);然后排水阀门关闭,进水阀门打开,开始第二次上水,并历时timeadd……当甩干结束后,整个洗衣过程完成,扬声器发出持续15秒的急促的“嘀嘀”音,提示用户洗衣结束。正常运行状态下全自动洗衣机工作过程如图1. 1所示。 注意:在甩干过程中,电机一边高速旋转,一边排水。 图1. 1 正常运行状态下默认水位为“中”时全自动洗衣机工作过程从图中可以看出,洗衣机整个工作过程可分为9个状态,要求运用有限状态机的设计思想来实现。 (2)人工干预 在每个工作状态下,如果想要洗衣机暂停工作,可按下启动/暂停按钮,则洗衣机立刻暂停当时的操作。比如,在第一次加水过程中,若按下启动/暂停按钮,则进水阀门立刻关闭,暂停上水,计时暂停;当再次按下启动/暂停按钮,则进水阀门又打开,并继续计时,直到加水满timeadd后,进入洗衣过程。 洗衣机功能设置:

简易洗衣机控制课程设计

洗衣机控制器设计 一.设计要求 启动—→正转20s —→暂行10s—→反转20s—— (定时未到) —→暂行10 s———(定时到)——→停止 如果定时时间到,则停机并发出音响信号。 (1).采用中小规模集成芯片设计制作一个电子定时器,按照一定的洗涤程序控制电机作正向和反向转动。 (2).电机用2个继电器控制,洗涤定时时间在0—20min内由用户任意设定。(3).用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 (4). 如果定时时间到,则停机并发出音响信号。 (5). 洗涤过程在送入预置时间后即开始运转。 二.方案选择及电路的工作原理 1.方案选择 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没有电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的

分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194 来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194一定可以很容易实现。 2.工作原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 三.设计的具体实现 设计思路 (1)本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

简易温度控制器制作

电子技术综合训练 设计报告 题目:简易温度控制器制作 姓名:谢富臣 学号:08220404 班级:控制工程2班 学院:电信学院 日期:2010.07.16

摘要 我们本次课程设计的主题是做一个简易温度控制器。具体方法是采用热敏电阻作为温度传感器,将温度模拟量转化为数字量,再利用比较运算放大器与设置温度值进行比较,输出高或低电平至电路控制元件从而对控制对象进行控制。整个电路分为四个部分:测温电路,比较电路,报警电路,控制电路。其中后三者为技术重点。

目录 第一部分:任务要求 (4) 第二部分:概述 (5) 第三部分:技术要求及方案 (6) 第四部分:工作原理 (7) 第五部分:单元电路 (8) 第六部分:参考文献 (10) 第七部分:总结及体会 (11) 第八部分:附录 (12)

一:任务要求 2010 年春季学期

二:概述 设计并制作一个温度监控系统,用温度传感器检测容器内水的温度,以检测到的温度信号控制加热器的开关,将水温控制在一定的范围之内。具体要求如下: 1、当水温小于50℃时,H1、H2两个加热器同时打开,将容器内的水加热,; 2、当水温大于50℃,但小于60℃时,H1加热器打开,H2加热器关闭; 3、当水温大于60℃时,H1、H2两个加热器同时关闭; 4、当水温小于40℃,或者大于70℃时,用红色发光二极管发出报警信号; 5、当水温在40℃~70℃之间时,用绿色发光二极管指示水温正常; 6、电源:220V/50HZ的工频交流电供电。 (注:直流电源部分仅完成设计即可,不需制作,用实验室稳压电源调试) 按照以上技术完成要求设计出电路,绘制电路图,对设计的电路用Multisim或OrCAD/PspiceAD9.2进行必要的仿真,仿真通过后购买元器件,用万用板焊接电路,然后对制作的电路完成调试,撰写设计报告,通过答辩。设计电路时,应考虑方便调试。 三:技术要求及方案

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

全自动洗衣机控制系统设计开题报告精选文档

全自动洗衣机控制系统 设计开题报告精选文档
TTMS system office room 【TTMS16H-TTMS2A-TTMS8Q8TTMSHHJ8】

重庆科技学院
毕业设计(论文)开题报告
题目 全自动洗衣机控制系统设计
学 院 电气信息工程学院
专业班级 自升本 2011-1
学生姓名 黄浩然 学号
指导教师
张跃辉
年月日
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。 此报告应在指导教师指导下,由学生在毕业设计(论文)工作开始后 2 周内完成,经指导 教师签署意见及系主任审查后生效。
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式 (可从教务处网址上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教 师签署意见。
3.学生查阅资料的参考文献理工类不得少于 10 篇,其它不少于 12 篇(不包括辞典、 手册)。
4.“本课题的目的及意义,国内外研究现状分析”至少 2000 字,其余内容至少 1000 字。

毕业设计(论文)开题报告
1.本课题的目的及意义,国内外研究现状分析
1)本课题目的及意义
随着科技的迅速发展,人民生活水平的不断提高,洗衣机的发展也变得十分 迅速。人们对洗衣机提出了更高的要求,性能更好,操作更简单,更节能,智能 化的实现等。所以现代的洗衣机控制从以前的机械式,继电器式,渐渐的向电气 电子式发展。
以前的洗衣机都存在一些问题,比如:对衣物的磨损和伤害大,噪声大,耗 电量大,耗水量大,洗净度较低,操作复杂和稳定性差等问题。为了改善传统洗 衣机的性能,本次课题将解决这些问题,来提高洗衣机的洗净率、降低磨损率、 噪声,做到节能环保,使操作更加简单和稳定性更好。
目前市场上大多数洗衣机都是采用单片机作为控制器,因为单片机成本低, 体积小巧、功耗低,操作方便;但是单片机对环境的适应能力较低,可靠性差, 编写程序相对复杂,且硬件的复杂性高,增大了维修的难度和成本费用。
本次课题采用 PLC 作为洗衣机控制器,PLC 工作的环境要求低,可靠性高, 抗干扰能力强,编程简单,容易受计算机控制;PLC 是整体模块,集中了驱动电 路、检测电路和保护电路及通讯连网功能,使硬件相对简单可靠,维护起来更加 的方便。但是 PLC 的成本相对较高,只适合在工业中运用而不适合民用。
本次设计采用 S7-200PLC 作为洗衣机控制器,通过传感器(水位传感器,浊 度传感器)对水位,洗衣浊度进行监测,编写 PLC 程序对电动机转向、洗衣机电 磁阀门的开度和开关进行控制,并且能够实现自动调节时间,选择洗涤方式,控 制水位等。
本课题主要着重于对全自动洗衣机的控制,要求洗衣机能实现进水、洗涤、 排水、脱水、自动停止的循环过程。让洗衣机工作更加稳定,操作简单可靠,提 高衣服洗净度,让洗衣机更加智能节能化。

温度控制器的设计与制作doc资料

温度控制器的设计与制作 一、功能要求 设计并制作一个温度控制器,用于自动接通或断开室内的电加热设备,从而使室内温度达到设定温度要求,并能实时显示室内温度。当室内温度大于等于设定温度时,控制器断开电加热设备;当室内温度比设定温度小2时,控制器接通电加热设备。 控温范围:0~51 控温精度:≤1 二、硬件系统设计 1.硬件系统由七部分组成,即单片机及看门狗电路、温度检测电路、控制输出电路、键盘电路、显示电路、设置温度储存电路及电源电路。 (1)单片机及看门狗电路 根据设计所需的单片机的内部资源(程序存储器的容量、数据存储器的容量及I/O口数量),选择AT89C51-24PC较合适。为了防止程序跑飞,导致温度失控,进而引起可怕的后果,本设计加入了硬件看门狗电路IMP813L,如果它的WDI脚不处于浮空状态,在1.6秒内WDI不被触发(即没有检测到上什沿或下降沿),就说明程序已经跑飞,看门狗输出端WDO将输出低电平到手动复位端,使复位输出端RST发出复位信号,使单片机可靠复位,即程序重新开始执行。(注:如果选用AT89S51,由于其内部已具有看门狗电路,就不需外加IMP813L) (2)温度检测电路 温度传感器采用AD590,它实际上是一个与绝对温度成正比的电流源,它的工作电压为4~30V,感测的温度范围为-550C~+1500C,具有良好的线性输出,其输出电流与温度成正比,即1μA/K。因此在00C时的输出电流为273.2μA,在1000C时输出电流为373.2μA。温度传感器将温度的变化转变为电流信号,通过电阻后转变电压信号,经过运算放大器JRC4558运算处理,处理后得到的模拟电压信号传输给A/D转换部分。A/D转换器选用ADC0804,它是用CMOS集成工艺制成的逐次逼近型模数转换芯片,分辨率8位,转换时间100μs,基准电压0~5V,输入模拟电压0~5V。 (3)控制输出电路 控制信号由单片机的P1.4引脚输出,经过光耦TLP521-1隔离后,经三极管C8550直接驱动继电器WJ108-1C-05VDC,如果所接的电加热设备的功率≤2KW,则可利用继电器的常开触点直接控制加热设备,如果加热设备的功率>2KW,可以继电器控制接触器,由接触器直接控制加热设备。 (4)键盘电路 键盘共有四个按键,分别是S1(设置)、S2(+)、S3(-)、S4(储存)。通过键盘来设置室内应达到的温度,键盘采用中断方式控制。 (5)显示电路 显示电路由两位E10501_AR数码管组成,由两片74LS164驱动,实现静态显示,74LS164所需的串行数据和时钟由单片机的P3.0和P3.1提供。对于学过“串行口”知识的班级,实习时,可以采用串行口工作于方式0,即同步移位寄存器的输出方式,通过串行口输出显示数据(实时温度值或设置温度值);对于没学过“串行口”知识的班级,实习时,可以采用模拟串行口的输出方式,实现显示数据的串行输出。 (6)设置温度存储电路 为了防止设定温度在电源断电后丢失,此设计加入了储存电路,储存器选用具有I2C总线功能的AT24C01或FM24C01均可。每次通过键盘设置的室内设定温度都通过储存器储存起来,即使是电源断电,储存器存储的设定温度也不丢失,在电源来电后,单片机自动将设

全自动洗衣机控制系统概况

合肥学院 计算机科学与技术系 微机原理与接口技术 课程设计 课程设计科目全自动洗衣机控制系统 学生姓名 学号 班级 指导教师高玲玲、肖连军

1、题意分析与解决方案 1.1 题意需求分析 根据以上题目所给的提示,我们对其进行解析:首先,刚开始的时候系统处于初始状态,准备好启动,然后一声蜂鸣表明洗衣机已经进入工作状态。当按下暂停键之后,9s的放衣服时间,然后选择洗衣周期,然后我们进入了洗衣状态。在洗涤的过程时,打开进水阀(此过程就是注水的过程),当到达预定水位时,按下水位开关,然后电机MO转动,在洗涤的过程中电机正反转三次后停止转动。然后进入脱水的过程,此时我们要打开排水阀,然后使电机正转,脱水结束后,电机停止转动。漂洗过程和洗涤的过程相似,只是在漂洗的时候,是把电机转动的次数改成正反转两次。甩干的过程和脱水的过程相似,只是电机转动的时间比脱水过程长一些。 从题意需求分析本课程设计需要解决的问题如下: (1)怎样用程序实现电机的正转反转; (2)我们怎么样在全速运行的条件下知道程序已经进入到哪一个步骤; (3)怎样分配按键,使程序尽可能的全自动化。 1.2 解决问题方法及思路 1.2.1硬件部分 本课程设计具体要求如下: (1)进水阀由继电器模拟; (2)洗衣流程进展过程由LED等指示; (3)预设水位由按键控制; (4)波轮旋转由电机控制。 此次课程设计中,我们在程序运行时,需要用到按键,所以对按键的分配如下:

表1-1 按键分配表 K1 暂停开关0 关闭 1 开启 K2 洗衣程序选择0 标准洗衣 1 经济洗衣 K3 水位开关0 低水位 1 高水位 本实验中我们要用到的硬件主要是8255A、LED指示灯、继电器、八路二进制开关、步进电机、蜂鸣器。 我们将LED指示灯接在8255A的PA口,而LED指示灯在此次实验过程中的作用就是指示洗衣流程进展(第5个灯亮表示在洗涤的过程,第6个灯亮表示在脱水的过程,在第7个灯亮表示在漂洗的过程,第8个灯亮表示在甩干的过程)和提示我们下一步应该怎样按键。将八路二进制开关接在8255A的PB口上,八路二进制开关在本实验中的作用是模拟洗衣机面板上的按键和水位开关的作用。将步进电机的A、B、C、D四相分别按顺序接到PC口的PC0~PC3上,本次实验过程中采用的是四相八拍的步进电机。将蜂鸣器接在PC口的PC4上,蜂鸣器的作用主要是提示我们洗衣机已经启动和洗衣结束。将继电器接入到PC口的PC5上,继电器在本次实验过程中的主要作用是模拟进水阀。 1.2.2软件部分 8255A是一个可编程芯片,我们可以通过程序对8255A芯片进行编程来实现本次课程设计所要求实现的所有功能。在本次实验中我们需要在程序中实现对电机的转动。为了能实现洗涤过程(此过程要求电机正转和反转),所以我们采用的是步进电机,实际应用中步进电机的类型有很多种,然而我们的实验箱上是四相的步进电机,在实验中我采用的是四相八拍的控制,当我们使其从A→AB→B →BC→C→CD→D→DA,这样可以实现电机的正转,当使他从DA→D→CD→C →BC→B→AB→A,这样就可以实现电机的反转,这个过程就是通过程序对PC 口的PC0~PC3进行设置的。排水阀我们是用继电器来模拟的,实验箱上的继电器是低电平工作,所以如果我们要用到继电器即要打开进水阀时,我们只要对PC口的PC5进行设置。在本实验中,我们要使用蜂鸣器来提示洗衣机工作和洗衣结束,这个过程也是通过程序来实现的,我们只要将PC4设置成低电平,蜂鸣器就开始工作了。

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

Verilog数字电子技术-洗衣机控制器

2010学年度第一学期 电子技术基础课程设计 ――智能洗衣机控制器 控制科学与工程系 自动化 1006 班 ******* ******** 院 系: 专 业: 班 级: 姓 名: 学 号: 指导教师:

课程设计名称 智能洗衣机控制器的设计 设计内容及要求 ①设计一个智能洗衣机控制器,能够实现洗衣,漂洗和脱水的功能。 ②要求能够使用按键模拟对洗衣机的控制,能够设置工作模式,为了便于观察,将洗衣机设定的工作模式(1~5)和整个过程所剩的工作时间用数码管显示出来(时间分辨率为 1 分钟),能够将洗衣 机当前所处的状态(注水,洗衣,排水,甩干)用发光管或者数码管显示出来。 模式1 】:洗衣模式--强力洗(洗衣30 分钟) 模式 2 】 :洗衣模式--普通洗(洗衣20 分钟) 模式3】:洗衣模式--轻柔洗(洗衣10 分钟) 模式 4 】 :漂洗模式 模式 5 】 :甩干模式 注:在以上 5 个模式中,每次注水 1 分钟,漂洗 5 分钟,排水 1 分钟,甩干1分钟,模式1?3的洗衣时间如上所示,具体的洗衣步骤如下: 【模式 1 ?3】:注水->洗衣->排水->甩干->注水->漂洗->排水->甩干

-> 注水-> 漂洗-> 排水-> 甩干。 【模式4】:注水-> 漂洗-> 排水-> 甩干-> 注水-> 漂洗-> 排水-> 甩 干。 【模式 5 】:甩干。【要求】:实现逻辑控制过程,可以选择性的加入注水口无水报警等人性化的状态提示,操作完毕使用蜂鸣器鸣叫两秒提示。 ③画出洗衣机控制器的状态机,写出状态编码方案。 ④用Verilog 语言对设计进行描述,设计一个测试方案,并能够下载到实验板上调试成功。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。并谈谈此次实验的收获、感想及建议 系统设计 1 系统框图与说明本次设计的重点在于智能控制器状态之间转换的条 件和关系, 并且在此基础上输出相应的显示

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

全自动洗衣机的PLC控制

课题二全自动洗衣机的PLC控制 一、概述 洗衣机的应用现在比较普遍。全自动洗衣机的实物示意图如图所示。 全自动洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。外桶固定.作盛水用。内桶可以旋转.作脱水(甩水)用。内桶的四周有很多小孔.使内外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。进水时.通过电控系统使进水阀打开.经进水管将水注入到外桶。排水时.通过电控系统使排水阀打开.将水由外桶排出到机外。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现.此时脱水桶并不旋转。脱水时.通过电控系统将离合器合上.由洗涤电动机带动内桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 二、设计任务和要求 该全自动洗衣机的要求可以用流程图来表示。 PLC投入运行.系统处于初始状态.准备好启动。启动时开始进水.水满(即水位到达高水位)时停止进水并开始正转洗涤。正转洗涤15 s后暂停.暂停3 s后开始反转洗涤。反转洗涤15s 后暂停.暂停3 s后.若正、反洗涤未满3次.则返回从正转洗涤开始的动作;若正、反洗涤满3次时.则开始排水。排水水位若下降到低位时.开始脱水并继续排水。脱水10s即完成一次从进水到脱水的工作循环过程。若未完成3次大循环.则返回从进水开始的全部动作.进行下一次大循环;若完成了3次大循环.则进行洗完报警。报警10s结束全部过程.自动停机。’此外.还要求可以按排水按钮以实现手动排水;按停止按钮以实现搬运.停止进水、排水、脱水及报警。

三、设计方案提示 1.I/O地址 输入输出 :启动按钮:进水电磁阀 Xl:停止按钮:电动机正转接触器 :排水按钮:电动机反转接触器 :高水位开关:排水电磁阀 :低水位开关:脱水电磁阀 :报警蜂鸣器 2.方案提示 ①用基本指令、定时指令和计数指令组合起来设计该控制程序。 ②用步控指令实现该控制。

温度控制器的设计与制作

6.4实施—制作过程 6.4.1硬件设计 温度测量采用最新的单线数字温度传感器DS18B20,DS18B20是美国DALLAS 半导体公司最新推出的一种改进型智能温度传感器。与传统的热敏电阻相比,它能够直接读出被测温度并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。可以分别在93.75ms 和750ms 内完成9位和12位的数字量,并且从DS18B20读出的信息或写入DS18B20的信息仅需要一根口线(单线接口)读写,温度变换功率来源于数据总线,总线本身也可以向所挂接的DS18B20供电,而无需额外电源。因而,使用DS18B20可使系统结构更趋简单,可靠性更高。 降温控制系统采用低压直流电风扇。当温度高于设定最高限温度时,启动风扇降温,当温度降到指定最高限温度以下后,风扇自动停止运转。 温控系统的温度显示和温度的设定直接采用综合实训板上的显示和键盘。当环境温度低于设定的最低限温度值时,也采用综合实训板上的蜂鸣器进行报警。用0#、1#键作为温度最高限、最低限的设定功能键;2#、3#键作为温度值设定的增加和减小功能键。 0#键:作为最高限温度的设定功能键。按一次进入最高限温度设定状态,选择最高限温度值后,再按一次确认设定完成。 1#键:作为最低限温度的设定功能键。按一次进入最低限温度设定状态,选择最低限温度值后,再按一次确认设定完成。 2#键:+1功能键,每按一次将温度值加1,范围为1~99℃。 3#键:-1功能键,每按一次将温度值减1,范围为99~1℃。 6.4.2软件设计 (1)温控系统采用模块化程序结构,可以分成以下程序模块: ①系统初始化程序:首先完成变量的设定、中断入口的设定、堆栈、输入输出口及外部部件的初始化工作。 ②主程序MAIN :完成键盘扫描、温度值采集及转换、温度值的显示。当温度值高于设定最高限时,驱动风扇工作;当温度值低于设定最低限时,驱动蜂鸣器报警。 ③键盘扫描程序KEYSCAN :完成键盘的扫描并根据确定的键值执行相应的功能,主要完成最高温度、最低温度的设定。 ④温度采集程序GET_TEMPER :完成DS18B20的初始化并发出温度转换命令,经过指定时间后读取转换的温度值。 根据DS18B20的通信协议,主机控制DS18B20完成温度转换必须经过3个步骤:每一次读写之前都要对DS18B20进行复位,复位成功后发送一条ROM 指令,最后发送RAM 指令,这样才能对DS18B20进行预定的操作。复位要求CPU 将数据线下拉500μs ,然后释放。DS18B20收到信号后等待16~60μs EA/VP 31X119X218R ESET 9R D 17WR 16INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P2728PSEN 29ALE/P 30TXD 11R XD 10U18051AD012PA021AD113PA122AD214PA223AD315PA3 24AD416PA425AD517PA5 26AD618PA627AD719PA7 28PB 029C E 8PB 1 30R D 9PB 231WR 10PB 3 32IO/M 7PB 433ALE 11PB 534PB 635PB 736TMR OUT 6PC 037PC 138TMR IN 3PC 2 39PC 31PC 42R ESET 4PC 55U28155B ELL 1 2 3A 74ALS02+5V 12M 20p 20p +5V G N D 1 D Q 2 V c c 3DS18B 20 +5V 4.7 k +5V 8550D24004+5V A - ++5V a b c d e f g h p LED1LED2+5V 012345PC 0PC 1PC 2PA0PA1 PB 0PB 7图2.2.1温控系统硬件接线原理图

全自动洗衣机控制系统设计

全自动洗衣机控制系统 设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

东北大学秦皇岛分校自动化工程系自动控制系统课程设计 全自动洗衣机控制系统的设计 专业名称自动化 班级学号 学生姓名 指导教师 设计时间2011.6.27~2011.7.8 东北大学秦皇岛分校自动化工程系 《自动控制系统》课程设计任务书 专业:自动化班级: 姓名: 设计题目:全自动洗衣机控制系统的设计 一、设计实验条件 装有单片机仿真软件的电脑。 二、设计任务 全自动洗衣机控制系统的要求是能实现“正常运行”和“强制停止”两种控制方式。 1.正常运行 “正常运行”方式具体控制要求如下: (1)将水位通过水位选择开关设在合适的位置(高、中、低),按下“启动”按扭,开

始进水,达到设定的水位(高、中、低)后,停止进水; (2)进水停止 2s 后开始洗衣; (3)洗衣时,正转 20s,停 2s,然后反转 20s,停 2s; (4)如此循环共 5 次,总共 220s 后开始排水,排空后脱水 30s;(5)然后再进水,重复(1)~(4)步,如此循环共 3 次; (6)洗衣过程完成,报警 3s 并自动停机。 2.强制停止 “强制停止”方式具体控制要求如下: (1)若按下“停止”按扭,洗衣过程停止,即洗涤电机和脱水桶转、进水电磁阀和排水 电磁阀全部闭合; (2)可用手动排水开关和手动脱水开关进行手动排水和脱水。 三、设计说明书的内容 1、设计题目与设计任务(设计任务书) 2、前言(绪论)(设计的目的、意义等) 3、主体设计部分 4、参考文献 5、结束语 目录

简易温度控制器的设计(DOC)

" 简易温度控制器的设计 摘要 简易温度控制器是采用热敏电阻作为温度传感器,由于温度的变化而引起电压的变化,再利用比较运算放大器与设置的温度值对应的电压进行比较,输出高或低电平从而对控制对象即加热器进行控制。其电路可分为三大部分:测温电路,比较/显示电路,控制电路。 关键词:测温,显示,加热 ! }

目录 一、设计任务和要求 0 设计内容 0 设计要求 0 二、系统设计 0 系统要求 0 系统工作原理 0 方案设计 0 三.单元电路设计 (1) 温度检测电路 (1) 电路结构及工作原理 (1) 电路仿真 (2) 、元器件的选择及参数的确定 (3) 比较/显示电路 (3) 电路结构及工作原理 (3) 电路仿真 (4) 元件的选择及参数的确定 (5) 、温度控制单元电路 (5) 电路结构及工作原理 (5) 温度控制单元仿真电路 (6) 电源部分 (7) 四.系统仿真 (9) 结论 (9) 致谢 (9) 参考文献 (9)

一、设计任务和要求 设计内容 采用热敏电阻作为温度传感器,由于温度变化而引起电压的变化,再利用比较运算放大器与设置的温度值对应的电压进行比较,从而通过输出电平对加热器进行控制。 设计要求 首先通过电源变压器把220V的交流电变成所需要的5V电压;当水温小于40℃时,H1、H2两个加热器同时打开,将容器内的水加热;当水温大于50℃,但小于70℃时,H1加热器打开,H2加热器关闭;当水温大于50℃时,H1、H2两个加热器同时关闭;当水温小于30℃,或者大于80℃时,红色发光二极管报警;当水温在30℃~80℃之间时,用绿色发光二极管指示水温正常[2]。 二、系统设计 系统要求 系统主要要求将温度模拟量转化为数字量,再将其转化为控制信号,从而对显示电路和控制电路进行控制,从而自动的调节水温, 系统工作原理 通过对水温进行测量,将所测量的温度值与给定值进行比较,利用比较后的输出信号至加热部分,让加热部分调控水温,从而实现对水温控制的目的。同时也反应到显示部分,让其正确的表示温度的状态。温度值的变化引起电阻值的变化,从而最终引起测温电路输出的电压值的变化,经过后边比较电路进行比较,从而控制显示电路和加热电路。 方案设计 为了使信号输出误差很小,选用桥式测压电路,这样可以得出较为准确的与温度相对应的电压值,关于比较部分可以选用比较器LM339构成窗口比较器,再利用滑动变阻

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

基于PLC全自动洗衣机控制系统方案

本科生毕业设计(论文)题目基于PLC的全自动洗衣机控制系统

基于PLC的全自动洗衣机控制系统 摘要:随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。 根据全自动洗衣机的工作原理,利用可编程控制器PLC实现控制,说明了PLC控制的原理方法,特点及控制洗衣机的特色。PLC的优点是:可靠性高,耗电少,适应性强,运行速度快,寿命长等,为了进一步提高全自动洗衣机的功能和性能,避免传统控制的一些弊端,就提出了用PLC来控制全自动洗衣机这个课题。全自动洗衣机控制系统利用了西门子S7-200系列PLC的特点,对按鈕,电磁阀,开关等其他一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于每遍的洗涤,排水,脱水的时间由PLC计数器控制,所以只要改变计数器参数就可以改变时间。可以把上面设定的程序时间定下来,作为固定程序使用,也可以根据衣物的质地,数量及油污的程度来编程。该论文就怎样利用PLC来控制全自动洗衣机进行了调查,对其中软件设计、硬件设计等问题进行了分析和研究,实现了全自动洗衣机的正常运行和强制性停止功能。 关键词:PLC;自动;定时;控制

Entire automatic washer control system design Undergraduate:Qiu Yun qiao Supervisor:Yuan Liang Abstract:Along with the social economy development and the science and technology level enhancement, the family electric appliance entire automation becomes the inevitable development tendency. Entire automatic washer production enormous convenience people's life. The washer is the domestic electrical appliances industry does not only hit the profession which the price fights, passes through several year steady development, the domestically produced washer regardless of in quality or in function all with world leading horizontal synchronization. Looks over the washer market, the highly effective energy conservation, the province water, the province electricity, the environmental protection washer continuously occupy the dominant position in the market. How does this paper study controls the entire automatic washer using PLC, to question and so on software design, hardware design has carried on the analysis and the discussion, has realized the entire automatic washer normal operation and compulsory stops the function. Keywords: PLC; control; delay;entire automatic

相关文档
最新文档