计算机组成原理作业~第三章

计算机组成原理作业~第三章
计算机组成原理作业~第三章

一、选择题

1、EPROM是指___D___。

A. 读写存储器

B. 只读存储器

C. 可编程的只读存储器

D. 光擦除可编程的只读存储器

2、计算机系统中的存贮器系统是指__D__。

A RAM存贮器

B ROM存贮器

C 主存贮器

D cache、主存贮器和外存贮器

3、存储单元是指__B__。

A 存放一个二进制信息位的存贮元

B 存放一个机器字的所有存贮元集合

C 存放一个字节的所有存贮元集合

D 存放两个字节的所有存贮元集合;

4、相联存贮器是按__C_进行寻址的存贮器。

A 地址方式

B 堆栈方式

C 内容指定方式

D 地址方式与堆栈方式

5、存储器是计算机系统的记忆设备,主要用于___D___。

A.存放程序

B.存放软件

C.存放微程序

D.存放程序和数据

6、外存储器与内存储器相比,外存储器___B___。

A.速度快,容量大,成本高

B.速度慢,容量大,成本低

C.速度快,容量小,成本高

D.速度慢,容量大,成本高

7、一个256K×8的存储器,其地址线和数据线总和为___C___。

A.16

B.18

C.26

D.20

8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。

A 64,16

B 16,64

C 64,8

D 16,16 。

9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。

A 模块式,并行,多个

B 模块式串行,多个

C 整体式,并行,一个

D 整体式,串行,多个

10、存储器是计算机系统中的记忆设备,它主要用来__C___。

A. 存放数据

B. 存放程序

C. 存放数据和程序

D. 存放微程序

11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是

___B___。

A. 64K

B.32K

C. 64KB

D. 32KB

12、存储单元是指__A__。

A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元

C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。

A. 1M B. 1MB C. 4M D. 4MB

14、多总线结构的计算机系统,采用___A__方法,对提高系统的吞吐率最有效。

A.多端口存储器

B.提高主存速度

C.交叉编址多模块存储器

D.cache

15、双端口存储器在__B__情况下会发生读/写冲突。

A. 左端口与右端口的地址码不同

B. 左端口与右端口的地址码相同

C. 左端口与右端口的数据码不同

D. 左端口与右端口的数据码相同

16、一张3.5寸软盘的存储容量为___A__MB,每个扇区存储的固定数据是______。

A. 1.44MB ,512B

B. 1MB,1024B C .2MB,256B D .1.44MB,512KB

17、双端口存储器所以能高速进行读/ 写,是因为采用__B_。

A 高速芯片

B 两套相互独立的读写电路

C 流水技术

D 新型器件

18、闪速存储器称为__B__。

A. 光盘

B. 固态盘

C. 硬盘

D. 软盘

19、常用的虚拟存储系统由__A__两级存储器组成。

A.主存—辅存 B.快存—主存 C.快存—辅存 D.通用寄存器—主存

20、假设某计算机的存储系统由cache和主存组成,某程序执行过程中访存1000次,其中访问cache缺失(未命中)50次,则cache的命中率是___D___。

A、5%

B、95%

C、50%

D、95%

21、微型计算机系统中,操作系统保存在硬盘上,其主存储器应该采用____C__。

A RAM

B ROM

C RAM和ROM

D CCP

22、某SRAM芯片,其容量为512×8位,包括电源端和接地端,该芯片引出线的最小数目

应为__D__。

A 23

B 25

C 50

D 19

23、以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输率最

高的是__C_。

A DRAM

B SRAM

C 闪速存储器

D EPROM

24、采用虚拟存储器的主要目的是__B__。

A. 提高主存储器的存取速度

B. 扩大存储器空间,并能进行自动管理

C. 提高外存储器的存取速度

D. 扩大外存储器的存储空间

25、某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线数目为__D_。

A 8,512

B 512,8

C 18,8

D 19,8

26、存储单元是指_B_。

A. 存放一个二进制信息位的存储元

B. 存放一个机器字的所有存储元集合

C. 存放一个字节的所有存储元集合

D. 存放两个字节的所有存储元集合

27、某存储器芯片的存储容量为8K×8位,则它的地址线和数据线引脚相加的和为__C__

A. 12

B. 13

C. 21

D. 22

28、用于笔记本电脑的大容量存储器是__C___。

A. 软磁盘

B. 硬磁盘

C. 固态盘

D. 寄存器

29、没有外存贮器的计算机监控程序可以存放在___B__。

A RAM

B ROM

C RAM 和ROM

D CPU

计算机组成原理 作业三

计算机组成原理作业三 1.设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128 2.设机器字长为8位(含1位符号位),用补码运算规则计算下列各题。 (1)A=9/64,B=-13/32,求A+B; 解: (1)A=9/64=(0.001 0010)2 B= -13/32=(-0.011 0100)2 [A]补=0.001 0010 [B]补=1.100 1100 [A+B]补= 0. 0 0 1 0 0 1 0 + 1. 1 0 0 1 1 0 0 1. 1 0 1 1 1 1 0 ——无溢出 A+B=(-0.010 0010)2 = -17/64 (2)A=19/32,B=-17/128,求A-B; 解: (2)A=19/32=(0.100 1100)2 B= -17/128=(-0.001 0001)2 [A]补=0.100 1100 [B]补=1.110 1111 [-B]补=0.001 0001 [A-B]补= 0. 1 0 0 1 1 0 0 + 0. 0 0 1 0 0 0 1 0. 1 0 1 1 1 0 1 ——无溢出 A-B=(0.101 1101)2 = 93/128 3. 试比较基址寻址和变址寻址。 答:基址寻址需设有基址寄存器BR,其操作数的有效地址EA等于指令字中的形式地址与基址寄存器中的内容(称作基地址)相加。即EA=A+(BR) 变址寻址有效地址EA等于指令字中的形式地址A与变址寄存器IX的内容相加之和。即EA=A+(IX) 变址寻址与基址寻址的有效地址形成过程极为相似。 两者的不同: 基址寻址主要用于为程序或数据分配存储空间,故基址寄存器的内容通常由操作系统或管理程序确定,而指令字中的A是可变的。 在变址寻址中,变址寄存器的内容是由用户设定的,在程序执行过程中其值可变,而指令字中的A是不可变的。变址寻址主要用于处理数组问题,在数组处理过程中,可设定A为数组的首地址,不断改变变址寄存器IX的内容,便可很容易形成数组中任一数据的地址,特别适合编制循环程序。 4.什么是中断?设计中断时需考虑哪些问题? 答:当主机正在繁忙地处理它的具体事务时,某个外设向主机提出需要临时处理问题的请求,于是主机响应外设请求暂时中断正在执行的程序,转去处理外部事件,处理完后再返回到被中断程序的断点处继续执行原程序的过程,称为中断。

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理

第一章 1.说明计算机系统的层次结构。 第一级是微程序级, 第二级是传统机器级, 第三级是操作系统级, 第四级是汇编语言级, 第五级是高级语言级, 第六级是应用语言级 2.冯诺依曼计算机的特点 计算机由运算器、存储器、控制器、输入输出设备五大部分组成 指令和数据以同等地位存放在存储器,并可按地址寻访 指令和数据均用二进制数表示 指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 指令在存储器内按顺序存放,通常指令是顺序执行的,在特定条件下,可根据运算结果或根据设定条件改变执行顺序 机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 3.计算机的工作步骤 (1)上机前的准备:建立数学模型、确定计算方法、编制解题程序 (2)上机运行 4.指令和数据都存储于存储器中,计算机如何区分他们? 计算机区分指令和数据有以下2种方法: 通过不同的时间段来区分指令和数据,即在取指令阶段(或取指微程序)取出的为指令,在执行指令阶段(或相应微程序)取出的即为数据。 通过地址来源区分,由PC提供存储单元地址的取出的是指令,由指令地址码部分提供存储单元地址取出的是操作数。 第三章 1、什么是总线,特点,为了减轻总线的负载,总线上的部件都应具备什么特点 总线是链接多个部件的信息传输线,是各部件共享的传输介质 特点:某一时刻只能有一路信息在总线上传输 总线上的部件应通过三态驱动缓冲电路与总线连通 2、总线的分类:片内总线;系统总线(数据总线,地址总线);控制总线;通信总线 3、总线的特性:机械特性,电气特性,功能特性,时间特性 4、总线的性能指标:总线宽度,总线带宽,时钟同步/异步,总线复用,信号线数,总线控

计算机组成原理第3章习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节 4M 8 32220=? (2) 需要 片88 2 322 8 51232 2 19 2020 =??= ??K (3) 用512K ?8位的芯片构成字长为32位的存储器,则需要每4片为一组进行字长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 2 26 =??M 内存条 (2) 每个内存条内共有32 8 46416=??M M 个芯片 (3) 主存共需多少 1288 464648 464 2 26 =??= ??M M M 个RAM 芯片, 共有4个内存条,故CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根 地址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16 448 163264=?=??K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位 数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、

计算机组成原理3-7章作业答案

第3章习题参考答案 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用存条结构形式,问; (1) 若每个存条为16M ×64位,共需几个存条? (2) 每个存条共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各存条? 解: (1) 共需 条464 1664 226=??M 存条 (2) 每个存条共有 328 464 16=??M M 个芯片 (3) 主存共需多少 1288 464 648464226=??=??M M M 个RAM 芯片, 共有4个存条,故CPU 选择存条用最高两位地址A 24 和A 25通 过2:4译码器实现;其余的24根地址线用于存条部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16448 1632 64=?=??K K 个芯片,其中每4片为一组构成16K ×32 位——进行字长位数扩展(一组的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、D 16~D 23和D 24~D 31,其余同名引脚互连),需要低14位地址(A 0~A 13)作为模块各个芯片的部单元地址——分成行、列地址两次由A 0~A 6引脚输入;然后再由4组进行存储器容量扩展,用高两位地址A 14、A 15通过2:4译码器实现4组中选择一组。画出逻辑框图如下。 (2) 设刷新周期为2ms ,并设16K ?8位的DRAM 结构是128?128?8存储阵列,则对所有单元全部刷新一遍需要128次(每次刷新

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理题(附答案)

计算机组成原理题解指南 第一部分:简答题 第一章计算机系统概论 1.说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 第四章主存储器 1.主存储器的性能指标有哪些?含义是什么? 存储器的性能指标主要是存储容量. 存储时间、存储周期和存储器带宽。 在一个存储器中可以容纳的存储单元总数通常称为该存储器的存储容量。 存取时间又称存储访问时间,是指从启动一次存储器操作到完成该操作所经历的时间。 存储周期是指连续两次独立的存储器操作(如连续两次读操作)所需间隔的最小时间。 存储器带宽是指存储器在单位时间中的数据传输速率。 2.DRAM存储器为什么要刷新?DRAM存储器采用何种方式刷新?有哪几种常用的刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 DRAM采用读出方式进行刷新。因为读出过程中恢复了存储单元的MOS栅极电容电荷,并保持原单元的内容,所以读出过程就是再生过程。 常用的刷新方式由三种:集中式、分散式、异步式。 3.什么是闪速存储器?它有哪些特点? 闪速存储器是高密度、非易失性的读/写半导体存储器。从原理上看,它属于ROM型存储器,但是它又可随机改写信息;从功能上看,它又相当于RAM,所以传统ROM与RAM的定义和划分已失去意义。因而它是一种全新的存储器技术。 闪速存储器的特点:(1)固有的非易失性,(2)廉价的高密度,(3)可直接执行,(4)固态性能。4.请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 第五章指令系统 1.在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长?哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 2.一个较完整的指令系统应包括哪几类指令? 包括:数据传送指令、算术运算指令、逻辑运算指令、程序控制指令、输入输出指令、堆栈指令、字符串指令、特权指令等。 3.什么叫指令?什么叫指令系统? 指令就是要计算机执行某种操作的命令 一台计算机中所有机器指令的集合,称为这台计算机的指令系统。 第六章中央处理部件CPU 1.指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 2.简述CPU的主要功能。 CPU主要有以下四方面的功能:(1)指令控制程序的顺序控制,称为指令控制。 (2)操作控制 CPU管理并产生由内存取出的每条指令的操作信号,把各种操作信号送往相应部件,从而 控制这些部件按指令的要求进行动作。 (3)时间控制对各种操作实施时间上的控制,称为时间控制。 (4)数据加工对数据进行算术运算和逻辑运算处理,完成数据的加工处理。 3.举出CPU中6个主要寄存器的名称及功能。 CPU有以下寄存器: (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。

计算机组成原理第三章习题

第三章、内部存储器 1、存储器是计算机系统中的记忆设备,它主要是用来_____ A.存放数据 B.存放程序 C.存放数据和程序 D.存放微程序 2、存储单元是指______ A.存放一个二进制信息位的存储元 B.存放一个机器字的所有存储单元集合 C.存放一个字节的所有存储元集合 D.存放两个字节的所有存储元集合 3、计算机的存储器采用分级存储体系的主要目的是________ A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格和存取速度之间的矛盾 5、和外存相比,内存的特点是____ A.容量大,速度快,成本低 B.容量大,速度慢,成本高 C.容量小,速度快,成本高 D.容量小,速度快,成本低 6、某单片机字长16位,它的存储容量64KB,若按字编址,那么它的寻址范围是______ A.64K B.32K C.64KB D.32KB 7、某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为_______ A.64,16 B.16,64 C.64,8 D.16,16 8、某DRAM芯片,其存储器容量为512K×8位,该芯片的地址线和数据线数目为 ________ A.8,512 B.512,8 C.18,8 D.19,8 9、某机器字长32位,存储容量256MB,若按字编址,它的寻址范围是_______ A.1M B.512KB C.64M D.256KB 10、某机器字长32位,存储容量4GB,若按字编址,它的寻址范围是_______ A.1G B.4GB C.4G D.1GB 11、某机器字长64位,存储容量4GB,若按字编址,它的寻址范围是_______ A.4G B.2G C.0.5G D.1MB 12、某机器字长32位,存储容量4GB,若按双字编址,它的寻址范围是_______ A.4G B.5G C.8G D.2G 13、某SRAM芯片,其容量为512×8位,包括电源端和接地端,该芯片引出线的数目应为_____ A.23 B.25 C.50 D.19 14、某微型计算机系统,其操作系统保存在硬盘上,其内存储器应该采用__________ A.RAM B.ROM C.RAM 和ROM https://www.360docs.net/doc/2b10963819.html,D 15、相联存储是按____进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定方式与堆栈存取方式结合 16、交叉存储器实质上是一种____存储器,它能_____执行_____独立的读写操作。 A.模块式,并行,多个 B.模块式,串行,多个 C.整体式,并行,一个 D.整体式,串行,多个

计算机组成原理 作业三

《计算机组成原理》作业(三) 学完6-7章后可以完成作业(二)。作业总分100分,将作为平时成绩记入课程总成绩。 一、简答题(每题6分,共30分) 1、什么就是总线?总线传输有何特点?为了减轻总线负载,总线上的部件应具备什么 特点? 答:总线就是连接各个部件的信息传输线,就是各个部件共享的传输介质。总线 上信息传输的特点:某一时刻只允许有一个部件向总线发送信息, 但多个部件可以同时从总线上接收相同的信息。 以CPU片内总线为例,在每个需要将信息送至总线的寄存器输出端接三态门,由三态 门控制端控制什么时刻由哪个寄存器输出。当控制端无效时,寄存器与总线之间呈 高阻状态。 2、为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种 方式响应时间最快?哪种方式对电路故障最敏感? 答:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种:链式查询、计数器定时查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器定时查询方式 优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式速度最快,但硬件器件用量大,连线多,成本较高。 3、简要说明程序中断接口中IM、IR、EI、RD、BS五个触发器的作用。 五个触发器的作用: 中断屏蔽触发器(IM):CPU就是否受理中断或批准中断的标志。Im标志为“0” 时,CPU 可受理外界中断请求。 中断请求触发器(IR):暂存中断请求线上由设备发出的中断请求信号,IR标志为“1” 时, 表示设备发出了中断请求。 允许中断触发器(EI):用程序指令来置位,控制就是否允许某设备发出中断请求。IE 为“1”时,某设备可以向CPU发出请求。 准备就绪的标志(RD):一旦设备做好一次数据的接收或发送,便发出一个设备动作完 毕信号,使RS标志为“1”。 工作触发器(BS):设备“忙”的标志。BS=1,表示启动设备工作

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理作业

计算机组成原理作业 1 某机主存容量为4M×16位,且存储字长等于指令字长,若该机指令系统能完成97种操作,操作码位数固定,且具有直接、间接、变址、基址、相对、立即等六种寻址方式。 (1)画出一地址指令格式并指出各字段的作用。 (2)该指令直接寻址的最大范围。 (3)一次间址和多次间址的寻址范围。 (4)立即数的范围(十进制数表示。) (5)相对寻址的位移量(十进制数表示)。 (6)上述六种寻址方式的指令哪一种执行时间最短?哪一种最长?哪一种便于用户编制处理数组问题的程序?哪一种便于程序浮动? 为什么? (7)如何修改指令格式,使指令的直接寻址范围可扩大到4M? (8)为使一条转移指令能转移到主存的任一位置,可采取什么措施?请简要说明。 2 假设某机的指令长度可变(长度在1~4个字节内变化)。而且CPU 与存储器的数据的传送宽度为32位(每次读取32位)。试问如何区分一个存储字包含多少条指令? 3 说明数据在存储器中可以按“边界对准”或“边界不对准”两种方式存放的特点,并分析其利弊。 4 假设指令字长为16位,操作数的地址码位6位,指令有零地址、一地址、二地址、三地址格式。

(1)设操作码固定,若零地址指令有M种,一地址指令有N种,则二地址指令最多有几种? (2)采用扩展操作码技术,二地址指令最多有几种? (3)采用扩展操作码技术,若二地址指令有P条,零地址指令有Q 条,则一地址指令最多有几种? 5 某机器采用一地址格式的指令系统,允许直接和间接寻址。机器配备有如下硬件: ACC、MAB、MDR、PC、X、MQ、IR以及变址寄存器R X和基址寄存器R B,均为16位。 (1)若采用单字长指令,共能完成105种操作,则指令可直接寻址的范围是多少?一次间址的寻址范围是多少?画出其指令格式并说明个字段的含义。 (2)若采用双字长指令,操作码位数及寻址方式不变,则指令可直接寻址的范围是多少?画出其指令格式并说明个字段的含义。(3)若存储字长不变,可采用什么方法访问容量为8MB的主存?需增设哪些硬件? 6 设相对寻址的转移指令占两个字节,第一个字节是操作码,第二个字节是相对位移量,用补码表示。每当CPU从存储器取出一个字节时,即自动完成(PC)+1—>PC。 (1)设当前PC值为3000H,试问转移后的目标地址范围是什么?(2)设当前PC值为2000H,要求转移到201BH,则转移指令的第二字节的内容是什么?

计算机组成原理论文

合肥学院 课程论文 题目计算机类课程综述类论文 系部计算机科学与技术 专业计算机科学与技术 班级10计本(2)班 学生姓名王仲秋 2012 年 5 月10 日 计算机类课程综述

内容摘要 计算机组成原理是计算机专业人员必须掌握的基础知识。显而易见《计算机组成原理》是计算机科学与技术专业的一门核心的专业必修课程。本课程侧重于讲授计算机基本部件的构造和组织方式、基本运算的操作原理以及部件和单元的设计思想等。但计算机硬件技术的发展十分迅速,各类新器件、新概念和新内容不断涌现,这就要求我们要与时俱进,自主学习新知识。计算机是一门应用广泛、使用面积广、技术含量高的一门学科和技术,生活中的任何一个角落都离不开计算机的应用,生活中的无处不在需要我们了解和清楚计算机的相关知识。本文从《计算机组成原理》基础课程的各个方面对计算机组成原理做了详细的解释。 关键字:构造组织方式基本运算操作原理设计思想 (一)、计算机组成原理课程综述 随着计算机和通信技术的蓬勃发展,中国开始进入信息化时代,计算机及技术的应用更加广泛深入,计算机学科传统的专业优势已经不再存在。社会和应用对学生在计算机领域的知识与能力提出了新的要求。专家们指出,未来10~15 年是我国信息技术发展的窗口期、关键期。 《计算机组成原理》是计算机科学与技术专业必修的一门专业主干课程。课程要求掌握计算机系统各部件的组成和工作原理、相互联系和作用,最终达到从系统、整机的角度理解计算机的结构与组成,并为后续课程的学习奠定基础。因此掌握计算机的组成原理就显得尤为重要,这就要求课程的编写要深入浅出、通俗易懂。本课程在体系结构上改变了自底向上的编写习惯,采用从外部大框架入手,层层细化的叙述方法。这样便更容易形成计算机的整体观念。 该课程总共分为四篇十章,第一篇(第1、2章)主要介绍计算机系统的基本组成、应用与发展。第二篇(第3、4、5章)详细介绍了出CPU外的存储器、输入输出系统以及连接CPU、存储器和I/O之间的通信总线。第三篇(第6、7、8、章)详细介绍了CPU(除控制单元外)的特性、结构和功能,包括计算机的基本运算、指令系统和中断系统等。第四篇(9、10章)专门介绍控制单元的功能,以及采用组合逻辑和微程序方法设计控制单元的设计思想和实现措施。 (二)、课程主要内容和基本原理

计算机组成原理作业~第三章

一、选择题 1、EPROM是指___D___。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 2、计算机系统中的存贮器系统是指__D__。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 3、存储单元是指__B__。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 4、相联存贮器是按__C_进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 5、存储器是计算机系统的记忆设备,主要用于___D___。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6、外存储器与内存储器相比,外存储器___B___。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 7、一个256K×8的存储器,其地址线和数据线总和为___C___。 A.16 B.18 C.26 D.20 8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。 A 64,16 B 16,64 C 64,8 D 16,16 。 9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。 A 模块式,并行,多个 B 模块式串行,多个 C 整体式,并行,一个 D 整体式,串行,多个 10、存储器是计算机系统中的记忆设备,它主要用来__C___。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是 ___B___。 A. 64K B.32K C. 64KB D. 32KB 12、存储单元是指__A__。 A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元 C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。 A. 1M B. 1MB C. 4M D. 4MB

计算机组成原理_在线作业_2

计算机组成原理_在线作业_2 交卷时间:2016-06-11 14:27:15 一、单选题 1. (5分) 用于对某个寄存器中操作数的寻址方式称为()寻址。 ? A. 间接 ? B. 寄存器直接 ? C. 寄存器间接 ? D. 直接 纠错 得分:5 知识点:4.4 典型指令 展开解析 答案B 解析 2. (5分) 程序查询方式的接口电路中应该包括()。 ? A. 设备选择电路、设备控制电路、设备状态标志 ? B. 设备选择电路、数据缓冲寄存器、设备控制电路

? C. 设备选择电路、数据缓冲寄存器、设备状态标志 ? D. 设备控制电路、数据缓冲寄存器、设备状态标志 纠错 得分:0 知识点:8.2 程序查询方式 展开解析 答案C 解析 3. (5分) 1946年研制成功的第一台电子数字计算机称为(),1949年研制成功的第一台程序内存的计算机称为()。 ? A. ENIAC , UNIVACI ? B. EDVAC ,MARKI ? C. ENIAC , MARKI ? D. ENIAC , EDSAC 纠错 得分:5 知识点:1.1 计算机发展概述 展开解析 答案D 解析 4. (5分) 以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输

率最高的是()。 ? A. 闪速存储器 ? B. SRAM ? C. EPROM ? D. DRAM 纠错 得分:5 知识点:3.4 高速存储器 展开解析 答案A 解析 5. (5分) 一个存储单元是指能够存放()的所有存储单元的集合。 ? A. 两个字节 ? B. 一个字节 ? C. 一个二进制信息位 ? D. 一个机器字 纠错 得分:5 知识点:1.3 计算机的基本组成 展开解析 答案D 解析 6.

郑大远程教育《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 剩余时间: 59:56 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。D A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。A A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。C A、并发性 B、同时性 C、并行性 D、共享性

4、不属于RISC的特点的是________。B A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。C A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面?ABC A、时间重叠 B、资源重复 C、资源共享 D、集中控制 2、并行性包含哪些方面的含义?AC A、同时性 B、稳定性 C、并发性 D、高效率

3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样?ABCDE A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别?ABCD A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些?AB A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性”

《计算机组成原理》第3章习题答案

第3章习题解答 1.指令长度和机器字长有什么关系?半字长指令、单字长指令、双字长指令分别表示什么意思? 解:指令长度与机器字长没有固定的关系,指令长度可以等于机器字长,也可以大于或小于机器字长。通常,把指令长度等于机器字长的指令称为单字长指令;指令长度等于半个机器字长的指令称为半字长指令;指令长度等于两个机器字长的指令称为双字长指令。 2.零地址指令的操作数来自哪里?一地址指令中,另一个操作数的地址通常可采用什么寻址方式获得?各举一例说明。 解:双操作数的零地址指令的操作数来自堆栈的栈顶和次栈顶。双操作数的一地址指令的另一个操作数通常可采用隐含寻址方式获得,即将另一操作数预先存放在累加器中。例如,前述零地址和一地址的加法指令。 3.某机为定长指令字结构,指令长度16位;每个操作数的地址码长6位,指令分为无操作数、单操作数和双操作数三类。若双操作数指令已有K种,无操作数指令已有L种,问单操作数指令最多可能有多少种?上述三类指令各自允许的最大指令条数是多少? 解:X= (24一K)×26一[L/26] 双操作数指令的最大指令数:24一1。 单操作数指令的最大指令数:15×26一l(假设双操作数指令仅1条,为无操作数指令留出1个扩展窗口)。 无操作数指令的最大指令数:216一212一26。其中212为表示某条二地址指令占用的编码数,26为表示某条单地址指令占用的编码数。此时双操作数和单操作数指令各仅有1条。 4.设某机为定长指令字结构,指令长度12位,每个地址码占3位,试提出一种分配方案,使该指令系统包含:4条三地址指令,8条二地址指令,180条单地址指令。 解:4条三地址指令 000 XXX YYY ZZZ . . 011 XXX YYY ZZZ 8条二地址指令 100 000 XXX YYY . . 100 111 XXX YYY 180条单地址指令 101 000 000 XXX . . 111 110 011 XXX 5.指令格式同上题,能否构成: 三地址指令4条,单地址指令255条,零地址指令64条?为什么? 解:三地址指令4条 000 XXX YYY ZZZ

计算机组成原理A形考作业3参考解答

计算机组成原理A形考作业3参考解答 一、选择题 1.下列部件(设备)中,存取速度最快的是____B____。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 2.某SRAM芯片,其容量为1K×8位,加上电源端和接地端,该芯片引出线的最少数目应为___D___。 A.23 B.25 C.50 D.20 3.在主存和CPU之间增加Cache的目的是___C___。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 4.在独立编址方式下,存储单元和I/O设备是靠___A___来区分的。 A.不同的地址和指令代码 B.不同的数据和指令代码 C.不同的数据和地址 D.不同的地址 5.随着CPU速度的不断提升,程序查询方式很少被采用的原因是__C____。 A.硬件结构复杂 B.硬件结构简单 C.CPU与外设串行工作 D.CPU与外设并行工作 6.在采用DMA方式的I/O系统中,其基本思想是在___B___之间建立直接的数据通路。 A.CPU与外设 B.主存与外设 C.CPU与主存 D.外设与外设 二、判断题判断下列说法是否正确,并说明理由。 1.CPU访问存储器的时间是由存储器的容量决定的,存储器容量越大,访问存储器所需的时间越长。 错。CPU访问存储器的时间不取决于存储器容量,而取决于存储器存取周期和存储器带宽。 2.引入虚拟存储系统的目的,是为了加快外存的存取速度。 错。引入虚拟存储系统的目的,是为了解决主存容量小、运行成本高的问题。 3.按主机与接口间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 错。应该是按主机与外设间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 4.DMA控制器通过中断向CPU发DMA请求信号。 错。应该是当结束数据传送时,DMA控制器通过中断向CPU发出请求信号。 三、简答题 1.在三级存储体系中,主存、外存和高速缓存各有什么作用?各有什么特点? 答:由主存、外存和高速缓存组成的三级存储体系是为了解决存储器的速度、容量的成本之间的矛盾。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

相关文档
最新文档