计算机组成原理 作业一

计算机组成原理 作业一
计算机组成原理 作业一

《计算机组成原理》作业(一)

学完1-2章后可以完成作业(一)。作业总分100分,将作为平时成绩记入课程总成绩。

一、解释下列英文缩写的中文含义(包括英文全称、中文名、功能三部分):(每题3分,

共30分)

CPU、PC、IR、CU、ALU、ACC、MAR、MDR、I/O、MIPS

答:

CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。

PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数形成下一条指令地址。

IR:Instruction Register,指令寄存器,其功能是存放当前正在执行的指令。CU:Control Unit,控制单元(部件),为控制器的核心部件,其功能是产生微操作命令序列。

ALU:Arithmetic Logic Unit,算术逻辑运算单元,为运算器的核心部件,其功能是进行算术、逻辑运算。

ACC:Accumulator,累加器,是运算器中既能存放运算前的操作数,又能存放运算结果的寄存器。

MAR:Memory Address Register,存储器地址寄存器,在主存中用来存放欲访问的存储单元的地址。

MDR:Memory Data Register,存储器数据缓冲寄存器,在主存中用来存放从某单元读出、或要写入某存储单元的数据。

I/O:Input/Output equipment,输入/输出设备,为输入设备和输出设备的总称,用于计算机内部和外界信息的转换与传送。

MIPS:Million Instruction Per Second,每秒执行百万条指令数,为计算机运算速度指标的一种计量单位。

二、简答题(每题5分,共30分)

1、什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要?

答:计算机系统:由计算机硬件系统和软件系统组成的综合体。

计算机硬件:指计算机中的电子线路和物理装置。

计算机软件:计算机运行所需的程序及相关资料。

硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。

2、数字计算机如何分类?分类的依据是什么?

答:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型

机、中型机、小型机、微型机和单片机六类。

分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划

分的。通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、指

令系统规模和机器价格等因素。

3、冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分?

答:冯.诺依曼型计算机的主要设计思想是:存储程序和程序控制。

存储程序:将解题的程序(指令序列)存放到存储器中;

程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。

4、什么是指令?什么是程序?

答:指令:计算机所执行的每一个基本的操作。

程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。

5、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据?

答:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

6、计算机的系统软件包括哪几类?说明它们的用途。

答: 系统软件包括:(1)服务程序:诊断、排错等

(2)语言程序:汇编、编译、解释等

(3)操作系统

(4)数据库管理系统

用途:用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能及用途。

三、计算题(每小题5分,共40题)

1. 写出下列各数的原码、反码、补码表示(用8位二进制数)。其中MSB是最高位(又是符号位)LSB是最低位。如果是小数,小数点在MSB之后;如果是整数,小数点在LSB之后。(共25分)

(1) -35/64

(2) 23/128

(3) -127

(4) 用小数表示-1

(5) 用整数表示-1

答:(1) -35/64 =(-100011/1000000)2=(-100011×2-110)2=(-0.100011)2

令x=-0.100011B

∴[x]原=1.1000110(注意位数为8位)[x]反=1.0111001 [x]补=1.0111010[x]移

=0.0111010

(2) 23/128 (23/128)10=(10111/10000000)2=(10111×2-111)2=(0.0001011)2

令x=0.0001011B

∴[x]原=0.0001011[x]反=0.0001011 [x]补=0.0001011[x]移=1.0001011

(3) -127 (-127)10=(-1111111)2

令x=-1111111B

∴[x]原=1.1111111[x]反=1.0000000 [x]补=1.0000001[x]移=1.0000001

(4) 用小数表示-1令x=-1.000000B

∴原码、反码无法表示

[x]补=1.0000000[x]移=0.0000000

(5) 用整数表示-1

令Y=-1=-0000001B

∴[Y]原=10000001[Y]反=11111110 [Y]补=11111111[Y]移=01111111

2. 已知X和Y, 用变形补码计算X-Y, 同时指出运算结果是否溢出。(共15分)

(1) X=0.11011 Y= -0.11111

(2) X=0.10111 Y=0.11011

(3) X=0.11011 Y=-0.10011

答: 1)先写出x和y的变形补码再计算它们的和[x]补=00.11011[y]补=00.00011 [x+y]补=[x]补+[y]补=00.11011+00.00011=0.11110 ∴x+y=0.1111B无溢出。

(2)先写出x和y的变形补码再计算它们的和[x]补=00.11011[y]补=11.01011

[x+y]补=[x]补+[y]补=00.11011+11.01011=00.00110 ∴x+y=0.0011B无溢出。

(3)先写出x和y的变形补码再计算它们的和[x]补=11.01010[y]补=11.11111 [x+y]补=[x]补+[y]补=11.01010+11.11111=11.01001 ∴x+y=-0.10111B无溢出

计算机组成原理第一章题目(含答案)

第一章计算机系统概论第一章单元测验 1、计算机硬件能直接执行的是 A、高级语言 B、机器语言 C、汇编语言 D、任何语言 2、下列说法中,错误的是 A、软件与硬件具有逻辑功能的等价性 B、固件功能类似软件,形态类似硬件 C、计算机系统层次结构中,微程序属于硬件级 D、寄存器的数据位对微程序级用户透明 3、完整的计算机系统通常包括 A、运算器、控制器、存储器 B、主机、外部设备 C、主机和应用软件 D、硬件系统与软件系统 4、计算机的字长与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存取速度 5、CPU地址线数量与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存储数据位 6、下列属于冯?诺依曼计算机的核心思想是 A、存储器按地址访问 B、存储程序和程序控制 C、采用补码 D、采用总线

7、下列关于计算机系统层次结构的描述中,正确的是 A、不同层次面向不同用户,看到计算机的属性不同 B、低层代码执行效率比高层代码执行效率高 C、低层用户对硬件的透明性比高层用户要低 D、指令集架构层是软、硬件间的接口 8、下列关于硬件与软件关系的描述中,正确的是 A、硬件是软件运行的基础 B、硬件的发展推动了软件的发展 C、软件的发展也推动硬件的发展 D、软件能完成的功能及性能与硬件有关 9、下列关于计算机字长的描述中正确的是 A、字长一般与运算器的数据位相同 B、字长一般与通用寄存器的位数相同 C、字长一般与存储器数据位相同 D、字长一般与存储器的地址位相同 10、下列可用于评价计算机系统性能的指标是 A、MIPS B、CPI C、IPC D、字长 11、下列计算机系统性能评价的描述中正确的是 A、程序MIPS值越高,计算机的性能越高 B、程序的CPI值越低,计算机的性能越高 C、主频高的机器性能不一定高 D、同一程序在不同机器上运行时得到的MIPS值不一定相同 12、访问256KB的存储空间,需要的地址线数最少为( )根?(只需要填阿拉伯数字) 13、程序必须存放在哪里才能被CPU访问并执行 14、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的CPI 为(保留到小数点后一位) 15、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的MIPS为(保留到小数点后一位) 参考答案如下:

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理 作业三

计算机组成原理作业三 1.设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128 2.设机器字长为8位(含1位符号位),用补码运算规则计算下列各题。 (1)A=9/64,B=-13/32,求A+B; 解: (1)A=9/64=(0.001 0010)2 B= -13/32=(-0.011 0100)2 [A]补=0.001 0010 [B]补=1.100 1100 [A+B]补= 0. 0 0 1 0 0 1 0 + 1. 1 0 0 1 1 0 0 1. 1 0 1 1 1 1 0 ——无溢出 A+B=(-0.010 0010)2 = -17/64 (2)A=19/32,B=-17/128,求A-B; 解: (2)A=19/32=(0.100 1100)2 B= -17/128=(-0.001 0001)2 [A]补=0.100 1100 [B]补=1.110 1111 [-B]补=0.001 0001 [A-B]补= 0. 1 0 0 1 1 0 0 + 0. 0 0 1 0 0 0 1 0. 1 0 1 1 1 0 1 ——无溢出 A-B=(0.101 1101)2 = 93/128 3. 试比较基址寻址和变址寻址。 答:基址寻址需设有基址寄存器BR,其操作数的有效地址EA等于指令字中的形式地址与基址寄存器中的内容(称作基地址)相加。即EA=A+(BR) 变址寻址有效地址EA等于指令字中的形式地址A与变址寄存器IX的内容相加之和。即EA=A+(IX) 变址寻址与基址寻址的有效地址形成过程极为相似。 两者的不同: 基址寻址主要用于为程序或数据分配存储空间,故基址寄存器的内容通常由操作系统或管理程序确定,而指令字中的A是可变的。 在变址寻址中,变址寄存器的内容是由用户设定的,在程序执行过程中其值可变,而指令字中的A是不可变的。变址寻址主要用于处理数组问题,在数组处理过程中,可设定A为数组的首地址,不断改变变址寄存器IX的内容,便可很容易形成数组中任一数据的地址,特别适合编制循环程序。 4.什么是中断?设计中断时需考虑哪些问题? 答:当主机正在繁忙地处理它的具体事务时,某个外设向主机提出需要临时处理问题的请求,于是主机响应外设请求暂时中断正在执行的程序,转去处理外部事件,处理完后再返回到被中断程序的断点处继续执行原程序的过程,称为中断。

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理3-7章作业答案

第3章习题参考答案 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用存条结构形式,问; (1) 若每个存条为16M ×64位,共需几个存条? (2) 每个存条共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各存条? 解: (1) 共需 条464 1664 226=??M 存条 (2) 每个存条共有 328 464 16=??M M 个芯片 (3) 主存共需多少 1288 464 648464226=??=??M M M 个RAM 芯片, 共有4个存条,故CPU 选择存条用最高两位地址A 24 和A 25通 过2:4译码器实现;其余的24根地址线用于存条部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16448 1632 64=?=??K K 个芯片,其中每4片为一组构成16K ×32 位——进行字长位数扩展(一组的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、D 16~D 23和D 24~D 31,其余同名引脚互连),需要低14位地址(A 0~A 13)作为模块各个芯片的部单元地址——分成行、列地址两次由A 0~A 6引脚输入;然后再由4组进行存储器容量扩展,用高两位地址A 14、A 15通过2:4译码器实现4组中选择一组。画出逻辑框图如下。 (2) 设刷新周期为2ms ,并设16K ?8位的DRAM 结构是128?128?8存储阵列,则对所有单元全部刷新一遍需要128次(每次刷新

《计算机组成原理A》形考作业二答案

计算机组成原理A形成性考核作业二(参考答案) 一、选择题: 1.计算机硬件能直接识别和运行的只能是_______程序。 A.机器语言B.汇编语言C.高级语言D.VHDL 答:A 2.指令中用到的数据可以来自_______(可多选)。 A.通用寄存器B.微程序存储器C.输入输出接口D.指令寄存器 E. 内存单元 F. 磁盘 答:A、C、E 3.汇编语言要经过_______的翻译才能在计算机中执行。 A.编译程序B.数据库管理程序C.汇编程序D.文字处理程序 答:C 4.在设计指令操作码时要做到_______(可多选)。 A.能区别一套指令系统中的所有指令 B.能表明操作数的地址 C.长度随意确定 D.长度适当规范统一 答:A、B、D 5.控制器的功能是_______。 A.向计算机各部件提供控制信号B.执行语言翻译 C.支持汇编程序D.完成数据运算 答:A 6.从资源利用率和性能价格比考虑,指令流水线方案_______,多指令周期方案_______,单指令周期方案_______。 A.最好B.次之C.最不可取D.都差不多 答:A、B、C 二、判断题:判断下列说法是否正确,并说明理由。 1.变址寻址需要在指令中提供一个寄存器编号和一个数值。√ 2.计算机的指令越多,功能越强越好。× 3.程序计数器PC主要用于解决指令的执行次序。√ 4.微程序控制器的运行速度一般要比硬连线控制器更快。× 三、简答题: 1.一条指令通常由哪两个部分组成?指令的操作码一般有哪几种组织方式?各自应用在什么场合?各自的优缺点是什么? 答:一条指令通常由操作码和操作数两个部分组成。 指令的操作码一般有定长的操作码、变长的操作码两种组织方式。 定长操作码的组织方式应用在当前多数的计算机中;变长的操作码组织方式一般用在小型及以上的计算机当中。 定长操作码的组织方式对于简化计算机硬件设计,提高指令译码和识别速度有利。 变长的操作码组织方式可以在比较短的指令字中,既能表示出比较多的指令条数,又能尽量满足给出相应的操作数地址的要求。 2.如何在指令中表示操作数的地址?通常使用哪些基本寻址方式? 答:是通过寻址方式来表示操作数的地址。 通常使用的基本寻址方式有:立即数寻址、直接寻址、寄存器寻址、寄存器间接寻址、变址寻址、相对寻址、间接寻址、堆栈寻址等。

计算机组成原理第1章习题与答案

计算机组成原理第1章习题与答案 一、选择题 1.从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于()计算机。 A.并行 B.冯·诺依曼 C.智能 D.串行 2.冯·诺依曼机工作的基本方式的特点是()。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存贮器按内容选择地址 3.在下面描述的汇编语言基本概念中,不正确的表述是()。 A.对程序员的训练要求来说,需要硬件知识 B.汇编语言对机器的依赖性高 C.用汇编语言编写程序的难度比高级语言小 D.汇编语言编写的程序执行速度比高级语言慢 4.(2009年考研题)冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是()。 A.指令操作码的译码结果 B.指令和数据的寻址方式 C.指令周期的不同阶段 D.指令和数据所在的存储单元 5.(2011年考研题)下列选项中,描述浮点数操作速度指标的是()。 A.MIPS B.CPI C.IPC D.MFLOPS 6.(2012年考研题)基准程序A在某计算机上的运行时间为100秒,其中90秒为CPU时间,其它时间忽略不计。若CPU速度提高50%,I/O速度不变,则基准程序A所耗费的时间是()秒。 A.55 B.60 C.65 D.70 7.(2013年考研题)某计算机主频为1.2 GHz,其指令分为4类,它们在基准程序中所占比例及CPI如下表所示。 该机的MIPS数是()。 A.100 B.200 C.400 D.600

8.(2014年考研题)程序P在机器M上的执行时间是20s,编译优化后,P 执行的指令数减少到原来的70%,而CPI增加到原来的1.2倍,则P在M上的执行时间是()。 A.8.4秒 B.11.7秒 C.14秒 D.16.8秒 9.(2015年考研题)计算机硬件能够直接执行的是()。 Ⅰ.机器语言程序Ⅱ.汇编语言程序Ⅲ.硬件描述语言程序 A.仅Ⅰ B.仅ⅠⅡ C.仅ⅠⅢ D.ⅠⅡⅢ 二、名词解释 1.吞吐量2.响应时间3.利用率 4.处理机字长5.总线宽度6.存储器容量 7.存储器带宽8.主频/时钟周期9.CPU执行时间 10.CPI 11.MIPS 12.FLOPS 三、简答题 1.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 2.冯·诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统应由哪些部件组成? 3.计算机系统分为哪几个层次?每层分别用软件还是硬件实现?

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理 作业三

《计算机组成原理》作业(三) 学完6-7章后可以完成作业(二)。作业总分100分,将作为平时成绩记入课程总成绩。 一、简答题(每题6分,共30分) 1、什么就是总线?总线传输有何特点?为了减轻总线负载,总线上的部件应具备什么 特点? 答:总线就是连接各个部件的信息传输线,就是各个部件共享的传输介质。总线 上信息传输的特点:某一时刻只允许有一个部件向总线发送信息, 但多个部件可以同时从总线上接收相同的信息。 以CPU片内总线为例,在每个需要将信息送至总线的寄存器输出端接三态门,由三态 门控制端控制什么时刻由哪个寄存器输出。当控制端无效时,寄存器与总线之间呈 高阻状态。 2、为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种 方式响应时间最快?哪种方式对电路故障最敏感? 答:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种:链式查询、计数器定时查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器定时查询方式 优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式速度最快,但硬件器件用量大,连线多,成本较高。 3、简要说明程序中断接口中IM、IR、EI、RD、BS五个触发器的作用。 五个触发器的作用: 中断屏蔽触发器(IM):CPU就是否受理中断或批准中断的标志。Im标志为“0” 时,CPU 可受理外界中断请求。 中断请求触发器(IR):暂存中断请求线上由设备发出的中断请求信号,IR标志为“1” 时, 表示设备发出了中断请求。 允许中断触发器(EI):用程序指令来置位,控制就是否允许某设备发出中断请求。IE 为“1”时,某设备可以向CPU发出请求。 准备就绪的标志(RD):一旦设备做好一次数据的接收或发送,便发出一个设备动作完 毕信号,使RS标志为“1”。 工作触发器(BS):设备“忙”的标志。BS=1,表示启动设备工作

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理A形考作业3参考解答

计算机组成原理A形考作业3参考解答 一、选择题 1.下列部件(设备)中,存取速度最快的是____B____。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 2.某SRAM芯片,其容量为1K×8位,加上电源端和接地端,该芯片引出线的最少数目应为___D___。 A.23 B.25 C.50 D.20 3.在主存和CPU之间增加Cache的目的是___C___。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 4.在独立编址方式下,存储单元和I/O设备是靠___A___来区分的。 A.不同的地址和指令代码 B.不同的数据和指令代码 C.不同的数据和地址 D.不同的地址 5.随着CPU速度的不断提升,程序查询方式很少被采用的原因是__C____。 A.硬件结构复杂 B.硬件结构简单 C.CPU与外设串行工作 D.CPU与外设并行工作 6.在采用DMA方式的I/O系统中,其基本思想是在___B___之间建立直接的数据通路。 A.CPU与外设 B.主存与外设 C.CPU与主存 D.外设与外设 二、判断题判断下列说法是否正确,并说明理由。 1.CPU访问存储器的时间是由存储器的容量决定的,存储器容量越大,访问存储器所需的时间越长。 错。CPU访问存储器的时间不取决于存储器容量,而取决于存储器存取周期和存储器带宽。 2.引入虚拟存储系统的目的,是为了加快外存的存取速度。 错。引入虚拟存储系统的目的,是为了解决主存容量小、运行成本高的问题。 3.按主机与接口间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 错。应该是按主机与外设间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 4.DMA控制器通过中断向CPU发DMA请求信号。 错。应该是当结束数据传送时,DMA控制器通过中断向CPU发出请求信号。 三、简答题 1.在三级存储体系中,主存、外存和高速缓存各有什么作用?各有什么特点? 答:由主存、外存和高速缓存组成的三级存储体系是为了解决存储器的速度、容量的成本之间的矛盾。

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

电子科大《计算机组成原理》在线作业3.

14春13秋 13春电子科大《计算机组成 14春13秋 13春电子科大《计算机组成原理》在线作业3 1 2 试卷总分:100 测试时间:-- 单选题、单选题(共 25 道试题,共 100 分。) 1. 以下描述中基本概念不正确的是()。 A. PCI总线是层次总线 B. PCI总线采用异步时序协议和分布式仲裁策略 C. Futurebus+总线能支持64位地址 D. Futurebus+总线适合于高成本的较大规模计算机系统满分:4 分 2. 双端口 存储器所以能高速进行读写,是因为采用()。 A. 高速芯片 B. 两套相互独立的 读写电路 C. 流水技术 D. 新型器件满分:4 分 3. 在集中式总线仲裁中,()方式对电路故障最敏感。 A. 链式查询 B. 计数器定时查询 C. 独立请求满分:4 分 4. 存储单元是指()。 A. 存放一个机器字的所有存储元 B. 存放一个二进制信息位的存 储元 C. 存放一个字节的所有存储元的集合 D. 存放两个字节的所有存储元的集合 满分:4 分 5. 从信息流的传送效率来看,()工作效率最低。 A. 三总线系统 B. 单总线系统 C. 双总线系统 D. 多总线系统满分:4 分 6. 程序控制类指令的功能是()。 A. 进行算术运算和逻辑运算 B. 进行主存和CPU之间的数据传送 C. 进行CPU和I/O设备之间的数据传送 D. 改变程序执行的顺序满分:4 分 7. 带有处理器的设备一般称为()设备。 A. 智能化 B. 交互式 C. 远程通信 D. 过程控制满分:4 分 8. 至今为止,计算机中的所有信息仍以二进制方式表示的理由是()。 A. 节约元件 B. 运算速度快 C. 物理器件性能决定 D. 信息处理方便满分:4 分 9. 寄存器间接寻址方式中,操作数处在()。 A. 通用寄存器 B. 程序计数器 C. 堆栈 D. 主存 单元满分:4 分 10. 对计算机的产生有重要影响的是()。 A. 牛顿、维纳、图灵B. 莱布尼兹、布尔、图灵 C. 巴贝奇、维纳、麦克斯韦 D. 莱布尼兹、布尔、克雷 满分:4 分 11. 描述汇编语言特性的概念中,有错误的句子是()。 A. 对程序员 的训练要求来说,需要硬件知识 B. 汇编语言对机器的依赖性高 C. 用汇编语言编制程序的难度比高级语言小 D. 汇编语言编写的程序执行速度比高级语言快满分:4 分 12. 中断向量地址是()。 A. 子程序入口地址 B. 中断服务例行程序入口地址 C. 中断服务例行程序入口地址的指示器 D. 中断返回地址满分:4 分 13. 在微型机系 统中,外围设备通过()与主板的系统总线相连接。 A. 适配器 B. 设备控制器 C. 计数器 D. 寄存器满分:4 分 14. 某一SRAM芯片,其容量为512×8位,考

计算机组成原理第1章 习题及参考答案

第一章绪论习题及参考答案 一、判断题 1.微型计算机广阔的应用领域中,会计电算化属于科学计算应用方面。( ) 2.决定计算机计算精度的主要技术指标是计算机的字长。( ) 3.利用大规模集成电路技术把计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫做单片机。( ) 4.计算机“运算速度”指标的含义是指每秒钟能执行多少条操作系统的命令。() 5.兼容性是计算机的一个重要性能,通常是指向上兼容,即旧型号计算机的软件可以不加修改地在新型号计算机上运行。系列机通常具有这种兼容性。() 二、简答题 1.电子数字计算机与电子模拟计算机的主要区别是什么 2.简单描述计算机的发展过程和应用范围。 3.冯·诺依曼机的主要特点是什么 4.按照冯·诺依曼原理,现代计算机应具备哪些功能 5.如何理解软硬件之间的等价性 6.何谓绿色计算机对它有哪些要求 7.简单描述计算机的层次结构,说明各层次的主要特点。 8.计算机系统的主要技术指标有哪些 参考答案 一、判断题 1.错。会计电算化属于计算机数据处理方面的应用。 2.对。 3.错。计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫CPU。 4.错。“运算速度”指标的含义是指每秒钟能执行多少条指令。 5.错。兼容性包括数据和文件的兼容、程序兼容、系统兼容和设备兼容,微型计算机通常具有这种兼容性。 二、简答题 1.电子数字计算机的运算对象是离散的数字量,用数码进行运算,其运算结果也是离散的数字量;电子模拟计算机的运算对象是连续变化的物理量(如电流、电压等),其运算结果也是连续变化的物理量。数字计算机的运算速度快,运算精度高。现代所说的计算机都是电子数字计算机。 2.从1946年世界上第一台数字电子计算机ENIAC研制成功至今,计算机的发展经历了4个时

相关文档
最新文档