脉搏测试仪设计报告讲解

脉搏测试仪设计报告讲解
脉搏测试仪设计报告讲解

脉搏测试仪设计报告

摘要:本系统以ST12C5A60S2单片机为核心,利用红外线发射二极管和接收二极管作为信号检测传感器,通过LM324信号放大电路,最终使用四位一体数码管作为显示器件。系统利用红外对管将人体心脏跳动使血管中血液饱和程度的变化将引起光的强度发生的变化,红外接收二极管的电流也跟着改变,导致红外发射管输出脉冲信号,经过由LM324构成的放大电路将脉冲信号放大整形,传送至单片机进行信号计算处理,最后将数据结果送到数码管进行显示。由此来对人体心率的数据进行测量。

关键词:ST12C5A60S2、红外线发射二极管、接收二极管、LM324、MY3641AH

Abstract:The system is based on the ST12C5A60S2 single-chip microcomputer as the core, with the infrared emitting diode and receive diode as sensor, signal amplifier circuit with LM324 as the core device, with 2MY3641AH four in one as a digital control display device. Through infrared to control the human beating heart vascular blood saturation degree of change will cause the light intensity changes, the infrared receiving diode current also change, resulting in the infrared emission tube output pulse signal, after which is composed of LM3243stage amplifying circuit amplifies the pulse signal is transmitted to the single chip microcomputer, signal processing, finally the data sent to the digital tube display. According to the data measured on human heart rate.

Key words: ST12C5A60S2, infrared emitting diode, receiving diode, LM324, MY3641AH

目录

1. 设计目标

2. 设计总体框图

一、系统方案论证

二、理论分析与计算

三、电路与程序设计

3.1 硬件电路设计

3.1.1 控制器ST12C5A60S2

3.1.2 信号采集电路

3.1.3 信号放大、整形电路

3.1.4 单片机处理电路

3.1.5 数码显示电路

3.2 软件程序设计

3.2.1 主程序流程

3.2.2 定时器中断程序流程

3.2.3 INT中断程序流程

3.2.4 显示程序流程

四、系统测试结果分析

1.测试使用的仪器设备

2.测试方法

3.测试数据

4.测试结果分析

五、心得体会

六、参考资料

附录1:硬件设计图

1. 设计目标

1) 设计一个脉搏测试仪;

2) 能显示30~300次的脉搏跳懂次数; 3) 能绘制出测试变化波形。

2. 设计总体框图

硬件设计原理框图如图1:

图1 .脉搏测试仪的硬件原理框图

一、 系统方案论证

方案一:由光电传感器采集脉搏信号,经过前置放大、滤波、单片机自带的A/D 转换模块采样得到脉搏信号的数据并存入存储器中;单片机对所得的数据进行数字信号处理并计算出心率值,结果送显示模块和存储器中。

方案二:由压电陶瓷片、三个2输入与或门CD4070组成四倍频器、555集成

定时器、十进制集成块74160N 三片、七段数码管(DCH-HEX )组成。, 74160N 与它配套使用可直接驱动显示。

方案三:通过红外对管将人体心脏跳动使血管中血液饱和程度的变化将引起光的强度发生的变化,红外接收二极管的电流也跟着改变,导致红外发射管输出脉冲信号,经过由LM324构成的放大电路将脉冲信号放大整形,传送至单片机进行信号计算处理,最后将数据结果送到数码管进行显示。

根据题目要求及电路复杂程度,我们选择了方案三。

二、理论分析与计算

本系统需要5个电路模块组成,分别是信号采集模块、信号放大整形模块、单片机核心电路模块、显示模块。以ST12C5A60S2单片机为核心,以红外线发射二极管和接收二极管作为传感器,以LM324作为信号放大电路的核心器件,以1个MY3641AH四位一体数码管作为显示器件。通过红外对管将人体心脏跳动使血管中血液饱和程度的变化将引起光的强度发生的变化,红外接收二极管的电流也跟着改变,导致红外发射管输出脉冲信号,经过由LM324构成的放大电路将脉冲信号放大整形,传送至ST12C5A60S2单片机进行信号计算处理,最后将数据结果送到数码管进行显示。由此来对人体心率的数据进行测量。

三、硬件电路与程序设计

3.1 硬件电路设计

3.1.1控制器ST12C5A60S2

3.1.2信号采集电路

根据朗伯—比尔定律,物质在一定波长处的吸光度和他的浓度成正比。当恒定波长的光照射到人体组织上时,通过人体组织吸收,反射衰减后,测量到的光强将在一定程度上反映

了被照射部位组织的结构特征。

脉搏主要由人体动脉舒张和收缩产生,在人体之间组织中的动脉成分含量高,而且指尖厚度相对其他人体组织而言比较薄,透过手指后检测到的光强相对较大,因此光电式脉搏传感器的测量部位通常在人体的指尖。

手指组织可分为皮肤、肌肉、骨骼等非学业组织,其中非学业组织的光吸收量是恒定的,而在血液中,静脉血的搏动相对动脉血是十分微弱的,可以忽略。因此可以认为光透过手指后的变化仅由动脉血的充盈而引起的,那么在恒定的波长的光源照射下,通过检测透过手指的光强将可以间接测量到人体的脉搏信号。

图3.1.2是脉搏信号的采样电路,U3是红外发射和接受装置,由于红外发射二极管中的电流越大,发射角度越小,产生的发射强度就越大,所以对R21组织的选取要求较高。R21选择270Ω同时也是基于红外接收三极管感应红外光灵敏度考虑的。R21过大,通过红外发射二极管的电流偏小,红外接收三极管无法区别有脉搏和无脉搏时的信号。反之,当R21

过小,通过的电流偏大,红外接收三极管也不能当手指离开传感器或检测到较强的干扰光线时,输入端的直流电压会出现很大变化,为了使它不致泄露U2B输入端而造成错误指示,用C8,C9串联组成的双极性耦合电容把他隔断。

当手指处于测量位置时,会出现二种情况:一时无脉期i。虽然手指遮挡了红外发射二极管发着的红外光,但是由于红外接收三极管中存在暗流,会造成输出电压略低。而是有脉期。当有跳动的脉搏时,血脉使手指透光性变差,红外接收三极管中的暗电流减小,输出电压上升,当该传感器输出信号的频率很低,如当脉搏只有为50次/分钟时,只有0.78Hz,200次/分钟时也只有3.3Hz,因此信号首先经R22、C10滤波滤除高频干扰,再由耦合电容C8、C9加到线性放大输入端。

图3.1.2 脉搏信号的采样电路

3.1.3信号放大整形电路

本放大电路采用LM324芯片,LM324是四运放集成电路,它采用14脚双列直插塑料封装,他的内部包含四组是完全相同的运算放大器,除电源公用外,四组运放相互独立。

每组运算刚大气可用图3.1.3(1)所示的符号来表示,他有5个引出脚,其中“+”“-”为两个信号输入端,“V+”“V-”为正负电源端,“V o”为输出端。连个信号输入端中,Vi+为同相输入端,表示运放输出端Vo的信号与该输入端点的相位相同。LM324的引脚排列可见图3.1.3(2)

图3.1.3(1)图3.1.3(2)

按题目要求来设计低通放大器,如图3.1.3(3)所示,截止频率由R4、C2决定,运放U2B将信号放大,放大倍数由R3和R4的比值决定。

图3.1.3(3)低通放大电路

根据一阶有缘滤波电路的传递函数,可得:

Gain of each stage = 1 + R f / R i = 1 + 510K / 5.1K = 101

Cut off Frequency = 1 / 2πR f C f = 3.16Hz

3.1.4单片机处理电路

运用了单片机作为核心元件,在这里运用单片机能更快更准确的对数据进行运算,而且可以根据实际情况编程,所用外围元件少,轻巧省电,故障率低。

3.1.5数码显示电路

本设计的现实采用LED数码管动态扫描来显示。两个4位一体的共阳极LED数码管组成8位显示,其中0、1两位显示测量中的时间,3、4两位显示测量中的脉搏次数,6、7位用来显示上次测量的数据局。单片机P0扣控制显示自行,P2扣控制显示字位

3.2 软件程序设计

3.2.1主程序流程

系统主程序控制单片机系统按预定的方法运行,它是单片机系统程序的框架。系统上电后,对系统进行初始化。初始化程序主要完成对单片机内专用寄存器、定时器工作方式及各端口的工作状态的设定。系统初始化后,进行定时器中断、外部中断、显示等工作,不同的外部硬件控制不同的子程序。

3.2.2定时器中断程序流程

定时器中断服务程序由一分钟计时、按键检测、有无测试信号判断等布恩组成。当定时器中断开始执行后,对一分钟开始计时,1秒计时到之后继续检测下一秒,知道60秒到了再停止并保存测得的的脉搏次数。同时可以对按键进行检测,只要复位测试值就可以重新开始测试。主要完成一分钟的定时功能和保存测得的脉搏次数。

3.2.3 INT中断程序流程

外部中断服务成寻完成对外部信号的测量和计算。外部中断采用边沿触发的方式,当处于测量状态时候,来一个脉冲脉搏次数就加一,由单片机内部定时器控制一分钟,累加得出一分钟内的脉搏次数。

3.2.4显示程序流程

显示程序包括显示上次的脉搏次数,本次测量中的时间和脉搏的次数。从中断程序中虚的结果后,先显示上次的脉搏次数,经过10ms的延时后再显示测试中的脉搏次数,在经过10ms的延时显示测试中的时间。

四、系统测试结果分析

1.测试使用的仪器设备

2.测试方法

通过直流稳压电源给整个电路供电,并利用示波器检测红外传感检测信号;经过电路本身运放放大电路,再次利用示波器检测最终放大信号。

3.测试数据

4.测试结果分析

本系统组装完成,测试没有达标。经测试分析,红外传感器所采集信号没有达到初步预测结果。后利用信号发生器在信号输入端输入不同频率,10mV以上信号,结果在输出端显示较理想波形,在数码管上显示出合理脉搏数。

五、心得体会

本次设计我们主要运用了51单片机实现了对脉搏的测量。由光电传感器采集到脉冲信号,经过信号的放大,滤波和整形电路将输出的信号通过单片机的外部中断获取并最终在数码管上显示。利用大年纪自身的定时中断,外部中断、计数等功能,不仅能显示出此脉搏测量的次数,还能自动储存这个数据。

我们这次设计的测量仪系统实现简单、功能稳定、使用方便、应用广泛,具有实际意义。这次的设计使我受益匪浅。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力。我觉得以后更要加强这方面的设计,提高自己的能力.我觉得自己的动手能力有了很大的提高;自信心也增强了.在课程设计中自己动

脑子解决遇到的问题,书本上的知识有了用武之地,这巩固和深化了自己的知识结构。这次实习恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路定位的再到最后电路的成型,都对我所学的知识进行了检验。可以说,本次设计有苦也有甜。设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备。我们要熟练地掌握课本上的知识,这样才能对试验

中出现的问题进行分析解决。留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚持的毅力。

我们会继续努力,争取在以后的项目中做的更好。

六、参考资料

[1] 欧阳俊,谢定等.基于BL-410 的指端脉搏波采集系统应用研究[J].实

用预防医学,2004,第11卷第2期,2—4.

[2] 韩文波,曹维国,张精慧.光电式脉搏波监测系统[J].长春光学精密机

械学院学报,1999,第22卷第4期,2.

[3] 朱国富,廖明涛,王博亮.袖珍式脉搏波测量仪[J].电子技术应用,1998,

第1期,1—3.

[4] 刘云丽,徐可欣等.微功耗光电式脉搏测量仪[J].电子测量技术,2005,

第2期,2—5.

[5] 程咏梅,夏雅琴,尚岚.人体脉搏波信号检测系统[J].北京生物医学工

程,2006,第25卷第5期,1—3.

[6] 刘文,杨欣,张铠麟.基于AT89C2051单片机的指脉检测系统的研究[J].医

疗装备,2005,第9期,2—14.

[7] 郁道银,谈恒英.工程光学[M].机械工业出版社,1998年11月,279—281.

[8]张福学.传感器应用及其电路精选(下册) [M].北京:机械工业出版社,

122—134.

七、附录1:硬件设计图

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

心率测试仪设计 开题报告

五邑大学 电子系统设计开题报告题目: 院系电子信息学院 专业电子信息工程 学号 学生姓名陈伟瀚 指导教师张京玲 开题报告日期2011.9.13 五邑大学教务处制 2011年8月

说明 一、开题报告应包括下列主要内容: 1.课题来源及研究的目的和意义; 2.国内外在该方向的研究现状及分析; 3.本课题研究的主要内容; 4.具体研究方案及进度安排和预期达到的目标; 5.预计研究过程中可能遇到的困难和问题,以及解决的措施; 6.主要参考文献。 二、对开题报告的要求: 1.开题报告的字数应在2000字左右; 2.阅读的主要参考文献应不少于5篇,英文参考文献量根据专业的不同确定,本学科的基础和专业课教材一般不应列为参考资料。 3.参考文献采用顺序编码制,即在开题报告引文中按引文出现先后以阿拉伯数字连续编码,序号置于方括号内,并作为上标出现。 4.参考文献书写顺序:序号作者.文章名.学术刊物名.年,卷(期):引用起止页。

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 自拟题目。 2.国内外研究现状与水平 科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 3.研究意义和目的 脉诊是中医独创的诊断方法,这是由于人体内部各器官的健康状态可以在脉搏信息中反映出来。自古以来,脉诊一直是中医检查病人情况的一种手段。 科学已经证明脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血管系统中许多生理疾病的血流特征。 随着科学技术的发展,各个学科之间的结合越来越紧密。而心率检测仪(脉搏测量仪)就是科学发展下,信息学科与生命学科结合的一种产物。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 1.便携式心率测试仪的第一部分基本功能: 心率信号由传感器(例如光电传感器) 模块进行采集 采集后的信号经过放大和滤波(特别滤除50HZ信号的干扰),进行整形后,得到幅值在0~5v的脉冲信号 2.便携式心率测试仪的第二部分基本功能: 可选用单片机进行心率测定,在数码管上显示出被测者心率 也可选用可编程器件PLD(进行仿真)进行心率测定和显示 2.拟采取的研究方法 综合各方面因素,决定采取光电传感器来抓取心率信号。 血液是高度不透明的液体,光照在一般组织中的穿透性要比血液中大几十倍,据此特点,采用光电效应手指脉搏传感器来拾取脉搏信号。反向偏压的光敏二极

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

脉搏测试仪设计报告讲解

脉搏测试仪设计报告 摘要:本系统以ST12C5A60S2单片机为核心,利用红外线发射二极管和接收二极管作为信号检测传感器,通过LM324信号放大电路,最终使用四位一体数码管作为显示器件。系统利用红外对管将人体心脏跳动使血管中血液饱和程度的变化将引起光的强度发生的变化,红外接收二极管的电流也跟着改变,导致红外发射管输出脉冲信号,经过由LM324构成的放大电路将脉冲信号放大整形,传送至单片机进行信号计算处理,最后将数据结果送到数码管进行显示。由此来对人体心率的数据进行测量。 关键词:ST12C5A60S2、红外线发射二极管、接收二极管、LM324、MY3641AH

Abstract:The system is based on the ST12C5A60S2 single-chip microcomputer as the core, with the infrared emitting diode and receive diode as sensor, signal amplifier circuit with LM324 as the core device, with 2MY3641AH four in one as a digital control display device. Through infrared to control the human beating heart vascular blood saturation degree of change will cause the light intensity changes, the infrared receiving diode current also change, resulting in the infrared emission tube output pulse signal, after which is composed of LM3243stage amplifying circuit amplifies the pulse signal is transmitted to the single chip microcomputer, signal processing, finally the data sent to the digital tube display. According to the data measured on human heart rate. Key words: ST12C5A60S2, infrared emitting diode, receiving diode, LM324, MY3641AH 目录

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

相关文档
最新文档