电子技术基础实验指导书doc

电子技术基础实验指导书doc
电子技术基础实验指导书doc

《电子技术》实验指导书

机电学院实验中心

2009年2月

目录

第一部分《模拟电子技术》实验................................................................ - 1 -实验一电子仪器使用及常用元件的识别与测试 ..................................... - 3 -实验二晶体管共射极放大电路.................................................................. - 7 -实验三多级放大电路中的负反馈(仿真) ............................................ - 11 -实验四集成运算放大器............................................................................ - 13 -实验五由集成运算放大器组成的文氏电桥振荡器(仿真) ............... - 17 -第二部分《数字电子技术》实验.............................................................. - 19 -实验一集成逻辑门.................................................................................... - 19 -实验二组合逻辑电路................................................................................ - 21 -实验三触发器............................................................................................ - 23 -实验四计数器设计.................................................................................... - 26 -实验五555定时器及其应用..................................................................... - 27 -实验六简易交通灯电路的设计................................................................ - 33 -实验七计数、译码和显示电路设计(仿真) ....................................... - 35 -实验八ADC和DAC的应用 ................................................................... - 37 -

实验一电子仪器使用及常用元件的识别与测试

一、实验目的

1.掌握常用电子仪器的基本功能并学习其正确使用方法。

2.学习掌握用双踪示波器观察和测量波形的幅值、频率及相位的方法。

3.掌握常用元器件的识别与简单测试方法。

二、仪器设备

1. CS-4125示波器

2. YB1620P信号发生器,EW1650数字信号源

3. 数字万用表

4. 指针万用表

5. NY4520晶体管毫伏表

三、预习要求

阅读附录I、Ⅳ、Ⅴ、Ⅵ、Ⅶ、Ⅷ、Ⅸ

四、实验原理

在模拟电子电路实验中,经常使用的仪器有示波器、信号发生器、交流毫伏表、万用表等。利用这些仪器可以对模拟电子电路的静态和动态工作情况进行测试。

1.CS-4125型双踪示波器

示波器是用来观测各种周期波形的仪器。CS-4125型双踪示波器可同时观测两组被测输入信号,并对其幅值、周期、频率及相位差进行测量。

①测量幅值

接入被测信号,将灵敏度微调旋到“校准”位置(即顺时针旋到底),此时灵敏度选择开关“V/div”所在档位的刻度值表示屏幕上纵向每格的伏特数。读出屏幕上被测波形的峰-峰值格数N,则被测信号的幅值V=N×(V/div)。注意探头衰减应放在1:1,如放在1:10,则被测值还需乘上10。

②测量周期、频率

接入被测信号,将扫描时间微调旋到“校准”位置(即顺时针旋到底),扫描扩展“×10MAG”至off,调节被测波形使之稳定,并在屏幕上显示完整的周期,此时扫描时间微调开关“V/div”所在档位的刻度值表示屏幕上横向每格的时间值。读出一个完整周期的格数M,则被测信号的周期T=M ×(V/div),f=1/T。

③测量两波形的相位差

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

实验指导书

苯甲酸红外光谱的测绘—溴化钾压片法制样 一、实验目的 1、了解红外光谱仪的基本组成和工作原理。 2、熟悉红外光谱仪的主要应用领域。 3、掌握红外光谱分析时粉末样品的制备及红外透射光谱测试方法。 4、熟悉化合物不同基团的红外吸收频率范围.学会用标准数据库进行图谱检索 及化合物结构鉴定的基本方法。 二、实验原理 红外光谱分析是研究分子振动和转动信息的分子光谱。当化合物受到红外光照射,化合物中某个化学键的振动或转动频率与红外光频率相当时,就会吸收光能,并引起分子永久偶极矩的变化,产生分子振动和转动能级从基态到激发态的跃迁,使相应频率的透射光强度减弱。分子中不同的化学键振动频率不同,会吸收不同频率的红外光,检测并记录透过光强度与波数(1/cm)或波长的关系曲线,就可得到红外光谱。红外光谱反映了分子化学键的特征吸收频率,可用于化合物的结构分析和定量测定。 根据实验技术和应用的不同,我们将红外光划分为三个区域:近红外区(0.75~2.5μm;13158~40001/cm),中红外区(2.5~25μm;4000~4001/cm)和远红外区(25~1000μm;400~101/cm)。分子振动伴随转动大多在中红外区,一般的红外光谱都在此波数区间进行检测。 傅立叶变换红外光谱仪主要由红外光源、迈克尔逊干涉仪、检测器、计算机和记录系统五部分组成。红外光经迈克尔逊干涉仪照射样品后,再经检测器将检测到的信号以干涉图的形式送往计算机,进行傅立叶变换的数学处理,最后得到红外光谱图。

傅立叶变换红外光谱法具有灵敏度高、波数准确、重复性好的优点,可以广泛应用于有机化学、金属有机化学、高分子化学、催化、材料科学、生物学、物理、环境科学、煤结构研究、橡胶工业、石油工业(石油勘探、润滑油、石油分析等)、矿物鉴定、商检、质检、海关、汽车、珠宝、国防科学、农业、食品、生物医学、生物化学、药学、无机和配位化学基础研究、半导体材料、法庭科学(司法鉴定、物证检验等)、气象科学、染织工业、日用化工、原子能科学技术、产品质量监控(远距离光信号光谱测量:实时监控、遥感监测等)等众多方面。 三、仪器和试剂 1、Nicolet 5700 FT-IR红外光谱仪(美国尼高力公司) 2、压片机(日本岛津公司) 3、压片模具(日本岛津公司) 4、玛瑙研钵(日本岛津公司) 5、KBr粉末(光谱纯,美国尼高力公司) 6、苯甲酸(分析纯) 四、实验步骤 1、样品的制备(溴化钾压片法)

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

智能仪器实验指导书.doc

《智能仪器》实验指导书 适用专业:电子信息专业 说明:实验课时数为8节课,可从以下实验中自行选取8学时进行实验 实验一模拟信号调理实验(有源滤波器的设计) 一、实验目的 1. 熟悉运算放大器和电阻电容构成的有源波器。 2. 掌握有源滤波器的调试。 二、实验学时 课内:2学时课外:2学时 三、预习要求 1. 预习有源低通、高通和带通滤波器的工作原理 2. 已知上限截止频率fH=480Hz,电容C=0.01uF,试计算图1所示电路形式的巴特沃斯二阶低通滤波器的电阻参数,运放采用OP-07。 3. 将图2中的电容C改为0.033uF,此时图2所示高通滤波器的下限截止频率fL=?。 四、实验原理及参考电路 在实际的电子系统中输入信号往往包含有一些不需要的信号成份,必须设法将它衰减到足够小的程度,或者把有用信号挑选出来。为此,可采用滤波器。 考虑到高于二阶的滤波器都可以由一阶和二阶有源滤波器构成,下面重点研究二阶有源滤波器。 1.二阶有源低通滤波器

二阶有源低通滤波器电路如图1所示。可以证明其幅频响应表达式为 图1 二阶有源低通滤波器图2 二阶有源高通滤波器 式中: 上限截止频率 当Q=0.707时,这种滤波器称为巴特沃斯滤波器。 2. 二阶有源高通滤波器 如果将图1中的R和C的位置互换,则可得二阶高通滤波器电路,如图2所示。令 和 可得其幅频响应表达式为

其下限截止频率 五、实验内容 1. 已知截止频率fH=200Hz,试选择和计算图1所示电路形式的巴特沃斯二阶低通滤波器的参数。运算放大器用OP-07。 2. 按图1接线,测试二阶低通滤波器的幅频响应。测试结果记入表1中。 表1 Vi=0.1V(有效值)的正弦信号 3. 按图2接线,测试二阶高通滤波器的幅频响应。测试结果记入表2中。 表2 Vi=0.1V(有效值)的正弦信号 4. 将图2中的电容C改为0.033uF,同时将1的输出与图2的输入端相连,测试它们串联起来的幅频响应。测试结果记入表3中。 表3 Vi=0.1V(有效值)的正弦信号 六、实验报告要求

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

实验指导书

混凝土基本理论及钢桁架静力测试试验指导书

试验一、钢筋混凝土受弯构件正截面破坏试验 一、试验目的 1.了解受弯构件正截面的承载力大小、挠度变化及裂缝出现和发展过程; 2.观察了解受弯构件受力和变形过程的三个工作阶段及适筋梁的破坏特征; 3.测定受弯构件正截面的开裂荷载和极限承载力,验证正截面承载力计算方法。 二、试件、试验仪器设备 1.试件特征 (1). 根据试验要求,试验梁的混凝土强度等级为C20,纵向受力钢筋强度等级I级。 (2). 试件尺寸及配筋如图1所示,纵向受力钢筋的混凝土净保护层厚度为15mm 。 (3). 梁的中间500mm 区段内无腹筋,其余区域配有 6@60的箍筋,以保证不发生斜 截面破坏。 (4). 梁的受压区配有两根架立筋,通过箍筋与受力筋绑扎在一起,形成骨架,保证受力钢筋处在正确的位置。 2.试验仪器设备 (1). 静力试验台座、反力架、支座及支墩 (2). 20T 手动式液压千斤顶 (3). 读数显微镜及放大镜 (4). 位移计(百分表)及磁性表座 三、试验装置及测点布置 1.试验装置见图2 (1). 在加荷架中,用千斤顶通过分配梁进行两点对称加载,使简支梁跨中形成长 500mm 的纯弯曲段(忽略梁的自重)。 (2). 构件两端支座构造应保证试件端部转动及其中一端水平位移不受约束,基本符 合铰支承的要求。 2.测点布置 梁的跨中及两个对称加载点各布置一位移计f 3~f 5,量测梁的整体变形,考虑在加载的过程中,两个支座受力下沉,支座上部分别布置位移测点f 1和f 2,以消除由于支座下沉对挠度测试结果的影响。 图1 试件尺寸及配筋图

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

实验指导书

实验一材料硬度测定(综合性) 一、实验内容 1.金属布氏硬度实验。 2.金属洛氏硬度实验。 二、实验目的及要求 该实验的目的是使学生熟悉金属布氏、洛氏、维氏硬度计的使用方法,巩固硬度试验方法的理论知识,掌握各种硬度计的结构原理、操作方法及注意事项。要求学生具有踏实的理论知识,同时也具有严谨、一丝不苟的作风。 三、实验条件及要求 (一)实验条件 1.布氏硬度计、洛氏硬度计和显维硬度计,读数放大镜,标准硬度块。 2.推荐试样用材:灰铸铁、经调质处理的45钢、淬火低温回火的T10钢。 (二)要求 制备试样过程中不得使试样因冷、热加工影响试验面原来的硬度。试验面应为光滑的平面,不应有氧化皮及污物,测布氏硬度、洛氏硬度时试验面的粗糙度Ra≤0.8μm。 试验时,应保证试验力垂直作用于试验面上,保证试验面不产生变形、挠曲和振动。试验应在10~35℃温度范围内进行。 不同硬度试验对试样及试验操作尚有具体要求。 四、实验相关知识点 1.硬度试验原理。 2.对试样的要求。 3.硬度试验方法的选择。 4.各种硬度计的结构原理、操作方法及注意事项。 5.试验数据的获得。 6.不同硬度试验方法的关系。 五、实验实施步骤 (一)金属布氏硬度试验 金属布氏硬度值是单位压痕表面积所承受的外力。

1.试验规范的选择 布氏硬度试验时应根据测试材料的硬度和试样厚度选择试验规范,即压头材料与直径、F/D2值、试验力F及试验力保持时间t。 (1)压头材料与直径的选择压头为硬质合金球。 球体直径D的选择按GB/T231.1-2009《金属布氏硬度试验方法》有五种,即10mm、5mm、2.5mm、2mm和1mm。压头直径可根据试样厚度选择,见压头直径、压痕平均直径与试样最小厚度关系表。选择压头直径时,在试样厚度允许的条件下尽量选用10mm球体作压头,以便得到较大的压痕,使所测的硬度值具有代表性和重复性,从而更充分地反映出金属的平均硬度。 (2)F/D2、试验力F及试验力的选择 F/D2比值有七种:30、15、10、5、2.5、1.25和1,其值主要根据试验材料的种类及其硬度范围来选择。 球体直径D和F/D2比值确定后,试验力F也就确定了。 试验须保证压痕直径d在(0.24~0.6)D范围内,试样厚度为压痕深度的10倍以上。 (3)试验力保持时间t的选择试验力保持时间t主要根据试样材料的硬度来选择。黑色金属:t=10~15s;有色金属:t=(30±2)s;<35HBW的材料:t=(60±2)s。 2.布氏硬度试验过程 (1)试验前,应使用与试样硬度相近的二等标准布氏硬度块对硬度计进行校对,即在硬度块上不同部位测试五个点的硬度,取其平均值,其值不超过标准硬度块硬度值的±3%方可进行试验,否则应对硬度计进行调整、修理。 (2)接通电源,打开电源开关。将试样安放在试验机工作台上,转动手轮使工作台慢慢上升,使试样与压头紧密接触,直至手轮与螺母产生相对滑动。同时应保证试验过程中试验力作用方向与试验面垂直,试样不发生倾斜、移动、振动。 启动按钮开关,在施力指示灯亮的同时迅速拧紧压紧螺钉,使圆盘随曲柄一起回转,直至自动反向转动为止,施力指示灯熄灭。从施力指示灯亮到熄灭的时间为试验力保持时间,转动手轮取下试样。 (3)用读数显微镜在两个互相垂直的方向测量出试样表面的压痕直径d1 。

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

MATLAB实验指导书(DOC)

MATLAB 实验指导书

前言 MATLAB程序设计语言是一种高性能的、用于科学和技术计算的计算机语言。它是一种集数学计算、分析、可视化、算法开发与发布等于一体的软件平台。自1984年MathWorks公司推出以来,MATLAB以惊人的速度应用于自动化、汽车、电子、仪器仪表和通讯等领域与行业。MATLAB有助于我们快速高效地解决问题。MATLAB相关实验课程的学习能加强学生对MATLAB程序设计语言理解及动手能力的训练,以便深入掌握和领会MATLAB应用技术。

目录 基础型实验............................................................................................ - 1 - 实验一MATLAB集成环境使用与基本操作命令练习 ............. - 1 - 实验二MATLAB中的数值计算与程序设计 ............................. - 7 - 实验三MATLAB图形系统 ......................................................... - 9 -

基础型实验 实验一 MATLAB 集成环境使用与基本操作命令练习 一 实验目的 熟悉MATLAB 语言编程环境;熟悉MATLAB 语言命令 二 实验仪器和设备 装有MATLAB7.0以上计算机一台 三 实验原理 MATLAB 是以复杂矩阵作为基本编程单元的一种程序设计语言。它提供了各种矩阵的运算与操作,并有较强的绘图功能。 1.1 基本规则 1.1.1 一般MATLAB 命令格式为 [输出参数1,输出参数2,……]=(命令名)(输入参数1,输入参数2,……) 输出参数用方括号,输入参数用圆括号如果输出参数只有一个可不使用 括号。 1.1.2 %后面的任意内容都将被忽略,而不作为命令执行,一般用于为代码加注 释。 1.1.3 可用↑、↓键来重现已输入的数据或命令。用←、→键来移动光标进行修改。 1.1.4 所有MATLAB 命令都用小写字母。大写字母和小写字母分别表示不同的 变量。 1.1.5 常用预定义变量,如pi 、Inf 、NaN 、ans 1.1.6 矩阵的输入要一行一行的进行,每行各元素用空格或“,”分开,每行用 “;”分开。如 ?? ?? ? ?????=987654321A MATLAB 书写格式为A=[1 2 3 ;4 5 6 ;7 8 9] 在MATLAB 中运行如下程序可得到A 矩阵 a=[1 2 3;4 5 6;7 8 9] a = 1 2 3 4 5 6 7 8 9 1.1.7 需要显示命令的计算结果时,则语句后面不加“;”号,否则要加“;”号。

电子技术基础实验指导书doc

《电子技术》实验指导书 机电学院实验中心 2009年2月

目录 第一部分《模拟电子技术》实验................................................................ - 1 -实验一电子仪器使用及常用元件的识别与测试 ..................................... - 3 -实验二晶体管共射极放大电路.................................................................. - 7 -实验三多级放大电路中的负反馈(仿真) ............................................ - 11 -实验四集成运算放大器............................................................................ - 13 -实验五由集成运算放大器组成的文氏电桥振荡器(仿真) ............... - 17 -第二部分《数字电子技术》实验.............................................................. - 19 -实验一集成逻辑门.................................................................................... - 19 -实验二组合逻辑电路................................................................................ - 21 -实验三触发器............................................................................................ - 23 -实验四计数器设计.................................................................................... - 26 -实验五555定时器及其应用..................................................................... - 27 -实验六简易交通灯电路的设计................................................................ - 33 -实验七计数、译码和显示电路设计(仿真) ....................................... - 35 -实验八ADC和DAC的应用 ................................................................... - 37 -

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

控制理论部分实验指导书DOC

自动控制理论实验指导书 吴彰良编 郑州轻工业学院 机电工程学院

目录 实验一典型环节与系统的模拟与分析实验二频率特性的测试与分析 实验三控制系统的串联校正

实验一典型环节与系统的模拟与分析 一、实验目的 1.熟悉并掌握THZK-1型测控技术综合实验装置的使用方法。 2.熟悉各典型环节的传递函数及其特性,掌握典型环节的电路模拟。 3.测量各典型环节的阶跃响应曲线,了解参数变化对其动态特性的影响。 二、实验设备 1.控制理论及计算机控制技术(一)、(二) 2.示波器 3.直流电压表 三、实验内容 1.设计并组建各典型环节的模拟电路。 2.测量各典型环节的阶跃响应,并研究参数变化对其输出响应的影响。 四、实验步骤 1.利用实验装置上控制理论及计算机控制技术实验箱(一)中的模拟电路单元,构建所设计的各典型环节(包括比例、积分、惯性环节)的模拟电路。待检查电路接线无误后,接通实验台的总电源,将直流稳压电源接入实验箱中。(注意地线也要接入)。 2.对相关的实验单元的运放进行锁零(将信号发生器单元中的锁零按钮打到锁零状态即可)。注意:积分、比例积分、比例积分微分实验中所用到的积分环节单元实验前需锁零(按下锁零按钮)实验开始时须将锁零按钮弹起 3.测试各典型环节的阶跃响应,并研究参数变化对输出响应的影响 (1)用直流电压表测试其输出电压,并调节电位器,使其输出电压为“1”V。 (2)将“阶跃信号发生器”的输出端与相关电路的输入端相连。 (3)加阶跃信号电压,按照实验内容进行,对每一组参数都要将曲线描绘下来,由示波器读出相应数据。 五、实验报告要求 1.画出各典型环节的实验电路图,并注明参数。 2.写出各典型环节的传递函数。 3.根据所测的典型环节单位阶跃响应曲线,分析参数变化对动态特性的影响? 六、实验思考题 1.用运放模拟典型环节时,其传递函数是在什么假设条件下近似导出的? 2.积分环节和惯性环节主要差别是什么?在什么条件下,惯性环节可以近似地视为积分环节?而又在什么条件下,惯性环节可以近似地视为比例环节? 3.在积分环节和惯性环节实验中,如何根据单位阶跃响应曲线的波形,确定积分环节和惯性环节的时间常数?

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

相关文档
最新文档