基于FPGA的四层电梯控制系统设计毕业设计论文

基于FPGA的四层电梯控制系统设计毕业设计论文
基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array

基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

教研室(或答辩小组)及教学系意见

摘要

随着科技的发展,电梯早在上个世纪就已进入人们的生活。对于电梯的控制,传统的方法是使用继电器-接触器控制系统进行控制。随着EDA技术的发展,FPGA已广泛应用于各项电子设计中,本设计即利用FPGA来实现对电梯控制系统的设计。

本文基于VHDL即超高速集成电路硬件描述语言开发的四层电梯控制系统,以QuartusII为开发环境,最终在EDA实验箱上实现四层电梯控制系统的基本功能,其功能包括:显示电梯楼层数、响应楼层请求、电梯升降指示、电梯开关门、电梯开门超时报警等。本文采用模块化设计的方法,整个系统主要分为五个模块,其中包括:请求信号显示模块、楼层检测模块、数码管楼层显示模块、开关门控制模块及电梯运行模块。

首先,通过EDA实验箱上的按键输入楼层请求,其中包括电梯内部楼层请求、电梯外上升请求及电梯外下降请求;同时,利用LED灯及数码管显示输入的请求;然后,遵照电梯运行时的方向优先原则及内部响应优先原则来逐次应答请求;并且,如果开门时间过长,电梯将发出报警并自动关门。

关键字:电梯控制;EDA;FPGA;QuartusII;VHDL

ABSTRACT

With the development of scientific technology, lift has come into our daily life since last century. The traditional approach for elevator control is to use

relay-contactor system. While, thanks to the improvement of EDA technology, FPGA has been widely used in various of electronic design. And this design uses the FPGA to realize the elevator control.

Firstly, required information, including the interior floor required information and external required information for rising and external required information for dropping, will be input by button. Secondly, the required information will be show by LED. And then, following the principle of operation, the elevator control system will respond required information one by one. Finally, there is an alarm for overtime.

This design is an elevator control system basing on VHDL. In the development environment of Quartus, the ultimate functions will be realize in test case of EDA. The basic functions of this elevator include showing the current floor of elevator, responding the required information of elevator, controlling the door of elevator and alarming for overtime. And this elevator control system use modularization design. There are five block in this design, including displaying required information, detecting current floor, displaying current floor by LED, the control of the door and operation of elevator.

Key words: elevator control system;EDA; FPGA; Quartus II; VHDL

目录

第1章绪论 (1)

1.1 电梯的起源 (1)

1.2 电梯的现状与发展 (1)

1.3 四层电梯控制系统的设计要求 (2)

第2章开发技术基础 (3)

2.1 EDA技术简介 (3)

2.1.1 EDA技术基本概述 (3)

2.1.2 EDA技术的基本特征 (3)

2.1.3 EDA技术的主要内容 (4)

2.1.4 EDA技术发展趋势 (4)

2.2 FPGA简介 (4)

2.2.1 可编程逻辑器件(PLD)简介 (4)

2.2.2 FPGA简介 (5)

2.3 VHDL设计基础 (6)

2.3.1 实体(Entity) (6)

2.3.2 结构体(Architecture) (6)

2.3.3 配置(Configuration) (6)

2.3.4 包集合(Package) (6)

2.3.5 库(Library) (7)

2.4 开发软件QuartusII (7)

2.5 硬件介绍:ZY11EDA13BE (7)

2.5.1 ZY11EDA13BE实验系统的特点 (7)

2.5.2 ZY11EDA13BE实验系统主板组成 (8)

第3章四层电梯控制系统的设计 (9)

3.1 系统器件端口说明 (9)

3.2 请求信号响应模块 (11)

3.2.1 外部上升请求信号响应 (11)

3.2.2 外部下降请求信号响应 (12)

3.2.3 内部请求信号响应 (14)

3.3 电梯所处楼层检测模块 (15)

3.4 楼层数码管显示模块 (16)

3.5 开关门控制模块 (17)

3.6 电梯运行模块 (18)

第4章四层电梯控制系统展示 (21)

4.1 系统软件验证 (21)

4.2 四层电梯控制系统相应图片展示 (22)

第5章总结 (35)

参考文献 (36)

致谢 (37)

第1章绪论

1.1电梯的起源

电梯,是指动力驱动,利用刚性导轨运行的箱体或者沿固定线路运行的梯级,进行升降或者平行运送人、货物的机电设备,包括人(货)电梯、自动扶梯、自动人行道。习惯上不论其驱动方式如何,将电梯作为建筑物内垂直交通运输工具的总称。

其实,人类利用升降工具运输货物、人员的历史非常悠久。早在公元前2600年,埃及人在建造金字塔时就使用了最原始的升降系统,这套系统的基本原理至今仍无变化:即一个平衡物下降的同时,负载平台上升。早期的升降工具基本以人力为动力。英国科学家瓦特发明蒸汽机后,起重机装置开始采用蒸汽为动力。紧随其后,威廉·汤姆逊研制出用液压驱动的升降梯。在这些升降梯的基础上,一代又一代富有创新精神的工程师们在不断改进升降梯的技术。然而,安全性问题是人类一直在突破的问题。直到1854年,美国人伊莱沙·格雷夫斯·奥的发明了人类历史上第一部安全升降梯。在纽约水晶宫举行的世界博览会上,他向世人展示了这一伟大的伟大的发明。此后搭乘升降梯不再是“勇敢者的游戏”了,升降梯在世界范围内得到广泛应用。1889年12月,美国奥的斯电梯公司制造出了名副其实的电梯,它采用直流电动机为动力,通过蜗轮减速器带动卷筒上缠绕的绳索,悬挂并升降轿厢。

1.2电梯的现状与发展

随着社会的发展,越来越多高层建筑出现在人们的生活中,电梯作为高层建筑中不可缺少的垂直运输设备,已然成为城市物质文明的一个重要标志。随着电梯的普遍使用,电梯功能也在随之提高,其控制方式也在不断的改善。150年来,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新,一款款集纳了人类在机械、电子、光学等领域最新科研成果的新型电梯竞相问世,冷冰冰的建筑因此散射出人性的光辉,人们的生活因此变得更加美好。

伴随经济的快速发展,我国的电梯行业正经历着一个高速发展期。据前瞻网统计,我国电梯产量从1990年的1.03万台增长到2010年的36.5万台,年复合增长率19.5%,国内电梯需求量从2000年的仅3.72万台增长到2010年的32.97万台,到2010年底,我国电梯保有量超过160万台。

1.3四层电梯控制系统的设计要求

对于电梯的控制,传统的方法是使用继电器-接触器控制系统进行控制。随着EDA技术的发展,FPGA已广泛应用于各项电子设计中,本设计即利用FPGA来实现对电梯控制系统的设计。

本次设计的基于FPGA的四层电梯控制系统,要求按键输入楼层请求、各楼层的上升下降请求及快速关门与延时关门,LED灯显示按键请求的状况以及电梯运行方向和电梯开关门状况,数码管显示电梯当前所在楼层。

具体要求如下:

(1)每层电梯设有上升和下降请求开关,电梯内设有乘客到达层次的停站请求开关;

(2)显示电梯当前所在楼层以及电梯运行模式(上升或下降);

(3)电梯每3秒升降一层,电梯到达有停站请求的楼层后,电梯门开,开门指示灯亮,开门5秒后,电梯关门,关门指示灯灭,电梯继续运行,直到完成所有请求信号;

(4)电梯运行中,遵循电梯内部楼层请求响应优先的原则,响应请求信号时遵循运行方向优先的规则:当电梯处于上升模式时,优先响应比电梯所在位置高的上楼信号,由下至上执行;若多个楼层都有下降请求,则直接到达有下降请求的最高层,然后进入下降模式。当电梯处于下降模式时,电梯运行规律与上升模式相反;

(5)电梯设有延时关门与快速关门功能。当启用延时关门时,电梯延时3秒关门。当启用快速关门电梯则1秒后立即关门。当电梯开门时间超过10秒,则报警灯亮且2秒后电梯自动关门;

(6)电梯初始状态为一层关门。

第2章开发技术基础

2.1EDA技术简介

2.1.1EDA技术基本概述

EDA技术是以计算机为工作平台,以EDA软件软件工具为开发环境,以硬件描述语言为设计语言,以可编程逻辑器件为实验载体,以ASIC、SoC芯片为目标器件,以数字系统设计为应用方向的电子产品自动化设计过程[1] [13]。

EDA技术与传统的硬件技术以及软件技术有着很大的差别,它打破了计算机软件以及硬件间的隔阂,使计算机软件和硬件之间的结合得以实现,设计效率与产品性能互相融合。因此,它代表了数字电子设计技术和应用技术的发展方向。

2.1.2EDA技术的基本特征

EDA技术的广泛应用,是电子技术的最新发展方向。EDA技术具备着自己独特的优势,设计人员首先按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,然后采用硬件描述语言完成系统行为设计,最后通过综合器和适配器生成目标器件,这样的设计方法被称为高层次的电子设计方法。因此,EDA 技术具备以下几个基本的特征:

(1)“自顶向下”的设计方法

传统的电子设计技术采取的是“自底向上”的设计方法,这种设计方法不仅效率低、易出错,而且成本很高。随着技术的发展,当今的EDA技术采取“自顶向下”的全新设计方法,在结构上对整体功能实现模块化,分块进行仿真、纠错,这样有利于程序设计的修改,大大提高了设计的效率以及正确率[13]。

(2)设计中采用硬件描述语言

采用硬件描述语言进行电路与系统的描述是当前EDA技术的另一个特征。HDL(Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,通过利用硬件描述语言,利用软件编程的方式将硬件电路结构、电子系统的逻辑功能连接起来。硬件描述语言的应用是现在的发展趋势,它可以大大的缩小设计成本,减少研发的周期,不仅适用于小批量的生产,同时也适合于大批量的产品的成产,因此,不仅在设计研发上,在工业生产中都得到了广泛的应用[13]。(3)具有逻辑综合和优化功能

逻辑综合功能是指将高层次的抽象描述转化为低级别抽象描述的一种方法,即根据芯片制造商提供的基本电路单元库,将硬件描述语言描述的电路转换为电

路网表或者是一组逻辑方程[13]。

优化功能是指根据布尔方程等效的原则,利用逻辑综合生成的结果,采取更小更快的综合结果代替一些复杂的单元,然后根据指定的目标将其映射成新的网表或者一组逻辑方程。优化的作用是将电路设计的时延缩短到最小和有效利用资源。几乎所有的EDA综合工具都可以利用约束条件对电路进行优化。

(4)具有开放性和标准化的软件架构

软件架构是一套配置使用的规范软件包,其提供给EDA开发的平台以及相应的开发环境。同时,架构还包括了各种与数据库相关的服务。架构能将来自不同厂商生产的工具软件进行优化组合,集成在一个方便管理的统一的环境下,这样有利与实现资源的优化配置以及资源共享[13]。

2.1.3EDA技术的主要内容

EDA技术涉及面广,从教学和实用的角度看,主要有以下四个方面内容:一是大规模可编程逻辑器件;二是硬件描述语言;三是软件开发工具;四是实验开发系统[12]。

大规模可编程器件是利用EDA技术进行电子系统设计的载体;硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段;软件开发工具是利用EDA 技术进行电子系统设计的智能化、自动化的设计工具;实验开发系统是利用EDA 技术进行电子系统设计的下载工具以及硬件验证工具[12]。

2.1.4EDA技术发展趋势

随着半导体技术、计算机技术以及集成技术的快速发展,面对如今的电子产品市场,设计师们需要更加实用、方便、快捷的EDA工具,这对EDA提出了更高的要求,从而也促进了EDA技术的快速发展。从EDA技术的组成方面来看,在可编程器件方面,朝着高密度、高速度、宽带宽方面发展,同时也朝着系统化、混合化、低电压、低功耗方向发展;在开发工具上,要求具备混合的信号处理能力,高效的仿真能力,高效的逻辑综合能力以及优化能力;系统描述方式更加的简便化、高效化和统一化[13]。

2.2FPGA简介

2.2.1可编程逻辑器件(PLD)简介

可编程逻辑器件以SRAM、Flash存储器或者EEPROM为存储基础,由用户

根据自己的需要对其进行编程,确定芯片的功能,然后应用在用户的电路系统中的电子设计技术。随着科技的发展,可编程逻辑器件也在很多方面有了飞速的发展:规模、性能、密度,这些发展使得它广泛应用与计算机、信号处理、自动化、集成电路设计等领域[2—3]。

可编程逻辑器件具有减小系统体积、增强逻辑设计的灵活性、提高系统的处理速度和可靠性、缩短设计周期、降低系统成本、具有系统加密功能等特点。

可编程逻辑器件的分类:

(1)按编程的性质分:一次性编程PLD、可对次编程PLD、在线可重配置ICR器件、在系统编程ISPPLD。

(2)按器件的结构分:可分为乘积项结构器件和查找表结构器件。

(3)按器件的集成程度分:可分为高密度可编程逻辑器件和低密度可编程逻辑器件。

2.2.2FPGA简介

1985年,美国Xilinx公司率先推出了一种新型的PLD——现场可编程门阵列(FPGA)。在功能上,FPGA的功能由逻辑结构的配置数据决定,这些数据配置存放在片外的EPROM或者其他的存储器上;在结构上,FPGA在结构上由逻辑功能模块排列成阵列,并有可编程的内部连接线连接这些功能模块,以用于实现某些特定的逻辑功能。与CPLD相比,FPGA可以实现更为复杂和更大规模的逻辑电路[2]。

FPGA由六个部分组成,分别是可编程输入/输出单元、基本可编程逻辑单元、丰富的布线资源、嵌入式块RAM、内嵌专用硬核以及底层嵌入功能单元。

(1)可编程输入/输出单元:该单元为芯片和外部电路的接口,可以完成不同电气特性下的输入输出的匹配[3]。

(2)基本可编程逻辑单元:该单元式可编程逻辑器件的核心,实现可编程逻辑器件最基本的功能,也可以根据设计的灵活性改变它的内部连接和配置,以实现不同的逻辑功能[3]。

(3)丰富的布线资源:布线资源是器件内部非常重要的连接渠道,连接着驱动功能以及数据的传输速度。FPGA有着非常丰富的布线资源,它们从工艺、长度、宽度位置上被分为不同的等级,有专用的、有公用的[3]。

(4)嵌入式块RAM:采用嵌入式块RAM,大大扩展了FPGA的使用灵活性和应用范围[3]。

(5)内嵌专用硬核:内嵌的专用内核主要指那些通用性相对较弱的功能单元。FPGA中的内嵌专用内核主要是为了实现某些特定功能开发的[3]。

(6)底层嵌入功能单元:它主要是指由生产商在出产前嵌入在FGPA中的

一些通用程度较高的功能模块[3]。

2.3VHDL设计基础

一个完整的VHDL语言程序一般情况下包括5个部分:实体(Entity)、结构体(Architecture)、配置(Configuration)、包集合(Package)、库(Library)。

2.3.1实体(Entity)

任何一个VHDL程序必须包含并且只能有一个实体。实体是用于描述所设计芯片的外观特性;它是VHDL的首要设计单元,实体是程序中最重要的几个部分之一,其中定义了VHDL所描述的数字逻辑电路的外部接口,它相当于一段程序的外部视图,有输入输出的端口,也有参数的定义,相同的器件可以有不同的实现,但是只能对应一个实体[12]。

2.3.2结构体(Architecture)

结构体用于描述所设计芯片的逻辑功能。它用于描述系统的数据流程、系统的行为,以及系统的数据构成形式。在该部分,VHDL语句的主要功能是指明所设计的芯片实现什么具体的功能,以及元件的内部联系和连线。通常情况,结构体对于输入输出的关系有三种方式进行描述:行为描述、寄存器传输描述、和结构描述,不同的描述方式仅仅是体现在所编写的语句上,其结构体的构造是完全相同的。其实结构体的功能描述共有5种:信号赋值语句、块(BLOCK)语句、进程(PROCESS)语句、元件例化(COMPONENT)语句和程序调用语句[16]。

2.3.3配置(Configuration)

配置用于从库中选取所需要单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生改变。配置语句用来描述层与层之间的连接关系以及实体与结构体之间的连接关系。在复杂的VHDL工程设计中,设计者可以利用这种配置语句来选择不同的结构体,使其与要设计的实体相对应,或者为例化的各元件实体配置指定的结构体。在仿真设计中,可以利用配置来选择不同的结构体进行性能对比试验,以得到性能最佳的设计方案[13]。

2.3.4包集合(Package)

程序包主要用于存放各设计模块能共享的数据类型、常数、子程序等。它主

要由两个部分组成:程序包首、程序包体。程序包首用于说明程序包中所定义的常量、数据类型、过程首、函数首以及元件定义;程序包体主要是对程序包体部分所定义的函数首和过程首所对应的函数体和过程体进行说明。在VHDL程序中,程序包不是必需的,程序包首可以独立的定义和使用[13]。

2.3.5库(Library)

在VHDL设计中,为了提高便捷性以及使用的规范性,必须将一些常用的、预先定义的数据类型,子程序等存放在一起,以供调用,这就是库,因此,库就是经编译的数据的集合,它可以存放实体定义、程序包定义、构造体定义以及配置的定义。库包含了5种:IEEE库、STD库、WORK库、VITAL库以及用户自定义库。需注意的是,在VHDL语言中,库的说明语句总是放在实体单元前面,即一段VHDL程序的开始一定是库说明语句。由于库是程序包的集合,因此,库的调用其实就是程序包的使用[12]。

2.4开发软件QuartusII

QuartusII是Altera公司推出的新一代FPGA/CPLD开发软件,适合于大规模复杂的逻辑电路设计。它是Altera公司的第4代可编程逻辑器件集成开发环境,提供了从设计输入到器件编程的全部功能[1]。

本次设计是在QuartusII9.0环境下进行的,QuartusII9.0是Altera公司于2009年推出的新一代PLD开发集成环境。可在多种平台运行,具有开放性、多平台、与结构无关、完成集成化、丰富的设计库、模块化工具、支持多种HDL 语言、易学习、易操作等特点。QuartusII提供了方便的实体设计、快速的编译处理以及编程功能,是目前FPGA/CPLD开发工具中较为理想的综合、仿真软件[1]。

QuartusII是EDA技术的一个综合性平台。运用EDA技术设计系统的工作流程如图2.2所示。应用QuartusII软件,可以完成所有的设计工作。

2.5硬件介绍:ZY11EDA13BE

2.5.1ZY11EDA13BE实验系统的特点

(1)采用主板A基本实验系统+适配板(扩展板)的结构:板是一个标准配置系统,是一个最简EDA工具系统,包含了核心芯片A(EP1K30QC208),在主板系统基础上扩展了不同系统,比如主板+单片机+扩展板构成单片机系统,以及主板+扩展CPLD/FPGA等多重系统[11]。

(2)主板中所有I/O口开放:主芯片的I/O口分为三个部分使用,三个部分的I/O口无重合。第一部分连接到外部资源(数码管、译码器、时钟源、液晶、LCD、LED、按键、开关等),第二部分连接到试配板接口,第三部分连接到扩展版接口[11]。

(3)数字EDA与模拟EDA混合实验与开发:除了主板的模数转换实验,如果配以模拟EDA器件适配板,就能在主板系统上完成模拟EDA实验或模数混合实验的开发[18]。

(4)编程下载通用性强:主板系统含FPGA/CPLD通用下载编程模块,可用于对Altera 、Lattice、Xilinx等多家国际著名的PLD公司几乎所有isp或现场配置的CPLD/FPGA进行编程下载[11]。

(5)良好的混合电压(MV)兼容功能:绿色能源电子系统要求器件低功耗、低噪声和高电磁兼容性。因此低电压器件的使用将更加重要和普遍,如笔记本电脑、嵌入式系统等,其中的主要器件皆为低电压器件[11]。

2.5.2ZY11EDA13BE实验系统主板组成

ZY11EDA13BE实验系统主板,主要由以下几部分构成:通用编程模块、液晶显示模块、数码管显示模块、A/D、D/A转换模块、LED显示模块、数字可调信号源、滤波模块、信号调节模块、逻辑笔模块、配置模块、模式选择模块、模拟信号源、4×4键盘模块、开关按键模块、电源模块、分立元件模块、喇叭模块、I/O口插孔、核心芯片A、适配板B插座、扩展板C插座、40PIN插座[11]。

本次设计中用到了通用编程模块即核心芯片EPF1K30QC208—2、数码管显示模块、LED显示模块、4×4键盘模块、开关按键模块、电源模块、喇叭模块。

第3章四层电梯控制系统的设计

根据设计要求,此次四层电梯控制系统的设计包括请求信号响应、楼层检测、当前楼层数码管显示、开关门控制、电梯运行五个模块。四层电梯控制系统的整体流程图如图3.1所示。

图3.1 四层电梯控制系统整体流程图

3.1系统器件端口说明

本次设计全部使用VHDL语言编程,在程序中完成对各模块的设计以及整体系统对各模块的调用。

系统生成器件如图3.2所示。

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

电梯毕业设计

电梯毕业设计 【篇一:电梯毕业设计】 摘要 本文简要论述了当前电梯控制系统的发展现状,并对电梯的控制方 式做了详细的分析,针对现在电梯控制系统的优缺点设计了一个基 于可编程控制的四层电梯控制系统。 本文依照实验室的硬件配置,工程设计的实际要求,针对四层电梯 的控制系统,应用omron公司cpm2a系列plc实现电梯控制系统 的设计,给出了详细的系统设计过程、i/o配置方案、及相应的梯形 图控制程序。该控制系统可以完成电梯的厅外召唤指令、楼层位置 指示等控制任务,并着重的阐述了电梯plc软件设计部分,最后用世纪星组态软件对系统进行实时监控。 本设计采用模块化的编程思想进行设计,按照电梯系统的启动复位 模块,电梯的上下行模块来单独分析。因此该系统具有理解较容易,查找代码及调试方便等特点,并且能很好的达到电梯的各项控制性 能指标,完全可以在实际中进行应用。 关键词:可编程控制器;电梯;梯形图 abstract this paper briefly discusses the current development of the elevator control system status, and makes a detailed analysis of the control mode of the elevator. then design this system of the four-story elevator control system which is based on programmable control, aiming at the advantages and disadvantages of elevator control system. according to the actual requests of the laboratory’s hardware and the design of this project, this design shows a detailed system design process, i / o configuration and the corresponding control procedures of the elevator’s control with the use of the cpm2a plc which is made by omron company to the elevator control system design. the elevator control system realize the duties of the elevators hall summons the instruction outside, floor location instructions and focus on the elevator on the plc software design part of the last century with the system-configuration software to conduct real-time monitoring.

PLC电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

(完整版)三菱PLC控制的四层电梯毕业设计论文

优秀论文审核通过 未经允许切勿外传 西安高新科技职业学院 毕业设计(论文) 课题名称三菱plc四层电梯控制 年级 2008 系别计算机科学与技术系 专业应用电子技术 班级 08级应用电子 姓名梁婷学号 指导教师赵鹏

摘要: 随着科学技术的发展、近年来,我国的电梯生产技术得到了迅速 发展.一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的 电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与 微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交 流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控 制方式:继电路控制系统(“早期安装的电梯多位继电器控制系统)、PLC 控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控 制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统 虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一 般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性 高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们 重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也 广泛用于传统继电器控制系统的技术改造。 目录 引言.............................................................................. 一、电梯控制系统的组成............................................................. (一)电力拖动 ................................................................ (二)电气控制 ................................................................ 二、电梯PLC 控制系统的基本结构.................................................... (一)楼层状态指示设计......................................................... (二)电梯下行程序设计.........................................................

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

PLC五层电梯毕业设计金子杰

城市职业学院五年制(高职) 毕业设计(论文)任务书 题目∶用PLC控制的五层楼梯控制系统的设计 _ 学校:城市职业学院昆山办学点 专业班级: 11数控(五) 学生:金子杰 学号: 11022030124 指导教师:何修富 指导教师职称:讲师 二○一六年四月二十日

摘要 20世纪60年代末,为了克服传统继电器的种种应用上的缺点,人们研制出了一种先进的可编程序控制器PLC(Programmable logic Controller),由于PLC 具有优良的技术性能,因此它一问世就很快得到了推广应用。随着微电子技术和计算机技术的迅速发展,PLC在工业控制领域得到广泛的应用愈加明显。 PLC是一种基于数字计算机技术,专为在工业环境下应用而设计的电子控制装置,它采用可编程程序的存储器,用来存储用户指令,通过数字或模拟的输入/输出,完成一系列逻辑、顺序、定时、记数、运算等确定的功能,来控制各种类型的机电一体化设备和生产过程。具体来讲PLC主要具有以下的特点:(1)可靠性高,抗干扰能力强; (2)编程方法简单、直观; (3)体积小、耗能低、重量轻; (4)硬件配套齐全,用户使用方便,适应性强; (5)系统的设计/安装、调试工作量少; (6)维修工作量小、维护方便; (7)接口模块功能强、品种多。 PLC在电梯控制上的应用主要体现在它的逻辑开关控制功能。由于PLC具有逻辑运算,计数和定时以及数据输入输出的功能。在电梯控制过程中,各种逻辑开关控制与PLC很好的结合,很好的实现了对电梯的控制。 本论文是以三菱广泛应用的整体中型机FX2N-128为背景机,详细介绍其系统配置,兼顾介绍,指令系统,编程方法和控制系统设计方法,同时也介绍了模块式PLC的一些智能单元。本人毕业设计的电梯包括电梯自动运行、消防运行、PLC综合控制三个系统。论文对PLC的结构、特点、性能以及与现场控制对象的连线进行了具体的研究,并通过PLC实现了电梯的自动控制以及消防运行。通过此次毕业设计,提高了我们运用理论知识,分析、处理和解决实际问题的综合能力。 关键词:PLC 五层电梯控制系统

完整PLC电梯论文毕业摄设计总论文

第一章电梯的电力拖动系统方案设计 电力拖动系统是电梯的动力来源,它驱动电梯部件完成相应的运动。在电梯中主要有如下两个运动:轿厢的升降运动,轿门及厅门的开关运动。轿厢的运动由曳引电动机产生动力,经曳引传动系统进行减速、改变运动形式(将旋转运动改变为直线运动)来实现驱动,其功率在几千瓦到几十千瓦,是电梯的主驱动。轿门及厅门的开与关则由开门电动机产生动力,经开门机构进行减速、改变运动形式来实现驱动,其驱动功率较小(通常在200W以下),是电梯的辅助驱动。本部分内容主要是电梯的电力拖动系统方案设计。 1.1 电梯门机拖动系统方案的创新性设计 1.1.1 电梯门机系统简介 在电梯系统中,为了使其能够正常工作,也为了提高电梯系统的可靠性一般在电梯系统中都有一些附属装置,电梯门机系统即是其中一个。舒适的电梯系统应该有较短的候梯时间,门运行快捷、安静,使乘客不会觉得候梯和运行时间过长,因此,高效的电梯应该有一个良好的门机驱动系统。 在电梯中,门机系统的主要任务是接收来自上位管理与调度系发送的门机控制信号,驱动门电动机运行,以控制电梯轿厢门和厅门的联动开关。电梯门机系统主要由门电动机、门电动机控制器、门电动机驱动装置、门结构(门系统机械部分)、安全检测系统、大厅内乘客监测系统等组成。下面简单介绍各个组成部分及其速度曲线和运行过程。 (1) 梯门电机控制系统这部分主要由门电机控制器、门电机驱动装置以及门电动机等组成。其中门电机控制器主要用来控制门电机,使其沿给定门机曲线运行,以快速、安静、准确的开关电梯轿厢门和厅门。这部分如同一个小型的电机拖动控制系统。 (2) 电梯的门结构此部分主要由门扇、导轨、厅门门锁等构成,目前主要采用单扇门和中分门两种结构。为了提高门系统的快捷性,高性能的电梯系统多采用中分门结构。其中门扇必须具有坚固、防火的特点;导轨用来支撑门扇,故必须表面光滑、坚固且足够大,以便门扇可靠的移动;厅门门锁必须满足安全要求,当门扇到达关门点时应及时的锁住门。这部分对乘客安全非常重要。 (3) 安全检测在电梯控制系统中,为了避免乘客被正在关闭的门扇伤害,在门系统中大都设置安全检测系统,以检测关门时是否还有乘客从电梯门上通过。当轿厢门正在关闭时,如果此时有乘客欲进、出入电梯轿厢(包括乘客位于轿厢门前某段距离或乘客阻挡轿厢门关闭),则轿厢门应该停止关闭,且重新打开。轿厢门打开则不必有此过程。目前的安全系统主要大都采用光电式装置(如光敏元件),也有的采用电磁式装置。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

四层电梯设计报告

一、设计题目:电梯控制课程设计 二、任务要求: 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵。电梯轿厢内设有楼层内选按钮S1~S4,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示、L4为四层指示,SQ1~SQ4为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层上升呼叫按钮,电梯才响应呼叫(从一层运行到三层),按三层下降呼叫按钮无效;反之,若电梯停在四层,在三层轿厢外呼叫时,必须按三层下降呼叫按钮,电梯才响应呼叫(从四层运行到三层),按三层上升呼叫按钮无效。 三、系统总体设计方案 (一)系统工作过程分析 1.初始化 2.确认本层于目标层并检测是否有厢内 或厢外呼叫,无则结束。 3.若有呼叫分辨本层于目标层是否一致, 是则开门。(右图电梯运动流程图) 4.确认电梯启动方向。 5.电梯启动。 6.电梯加速。 7.电梯高速运行。 8.楼层检测。 9.是否是目标层,不是则继续高速运行。 10.到目标层,电梯减速。 11.到层检测。 12.电梯制动。 13.开门。

14.延时后再关门。 15.是否停止运动,不是则原地等待。 16.确认运行结束则停止运行。 (二)控制要求 所设计的电梯模型共有四层。电梯的每一层面均有升降及轿厢所在楼层的指示灯显示;1—4所对应的指示灯表示楼层号,每层的楼厅均有输入(分上行和下行)按钮召唤电梯。工作中的电梯主要对各种呼梯信号和当时的运行状态进行综合分析,再确定下一个工作状态,为此它要求具有自动选向,顺向截梯和反向保号,外呼记忆,自动开、关门,停梯信号,自动达层等功能。 分析以上控制要求,将电梯控制要实现的功能罗列如下: 1. 开始时,电梯处于任意一层。 2. 当有外呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时, 电梯停止运行,电梯门自动打开,延时3秒后自动关门。 3. 当有外呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时, 电梯停止运行,电梯门自动打开,延时3秒后自动关门。 4. 在电梯运行过程中,轿厢上升(或下降)途中,任何反向下降 (或上升)的呼梯信号均不响应;如果某反向呼梯信号前方再无其他呼梯信号,则电梯响应该呼梯信号。 5. 电梯应具有最远反向呼梯功能。 6. 电梯未平层或运行时,开门按钮和关门按钮军不起作用。平层 且电梯停止运行后,按开门按钮可使电梯门打开,按关门按钮可使电梯门关闭。 3.5过程分析 1、电梯上行设计要求 1)当电梯停于1楼或2楼,3楼呼叫时,则上行,当3楼的行程开关控制停止,同时轿厢门与厅门打开,3秒后,轿厢门与厅门关闭。 2)当电梯停于1楼,2楼呼叫,则上行,到2楼的行程开关控制

基于plc电梯控制系统设计毕业论文_1

第1章绪论 1.1 论文的背景及意义 随着科学技术的发展、城市现代化进程的突飞猛进,电梯作为一种高效、迅捷、安全、可靠的垂直运输设备,成为了人们不可缺少的运输工具。现代高层建筑中各办公大楼、住宅、宾馆、医院、工矿企业、仓库、码头、大型货轮等都离不开它。据统计,在美国乘其他交通工具的人数每年约为80亿人次,而乘电梯的人数每年却有540亿人次之多。电梯服务中国已有100多年历史,特别在改革开放以后,我国电梯的使用数量快速增长。尤其是现阶段,随着经济日新月异的发展,人们生活水平不断提高,城市建筑不断增多,楼房也越来越高,与此相应,电梯也得到迅猛的发展。现在,电梯已完全融入我们的生产、生活中,满足人们生活、工作及学习的需要。据统计,我国在用电梯已达40多万台,每年还以约5万~6万台的速度增长[1][2]。 电梯的作用越来越显著,电梯的需求越来越大。而目前我国使用的先进的电梯系统基本上都是国外设计制造,其核心技术并不公开。国内具有自主知识产权的控制方法和技术在实际中的应用还比较少,与国外先进技术相比还有较大的差距。尽快研究和掌握先进的控制技术,对国内电梯工业的发展会有很大的促进作用。 早期的电梯自动控制系统中,信号的逻辑控制一般是由继电器—接触器电路来实现。由于继电器、接触器都是有触点的电气元件,体积庞大,弧光放电较严重,使用寿命有限;在电梯这种较复杂控制系统中可靠性不高,施工过程中接线复杂,当控制要求改变时必须改变硬件接线,使得通用性和灵活性不够,生产周期加长;另外,继电器、接触器触点数目有限,可扩展性较差;继电器—接触器控制系统依靠触点的机械动作实现控制,工作频率低且机械触点还会出现抖动问题;继电器控制逻辑一般不具备计数功能;同时随着楼宇层数的增加,继电器—接触器控制系统过于庞大,给设计带来不便。基于以上多种原因,导致电梯控制系统的工艺性、运行的可靠性与安全性降低,故目前己被逐步淘汰。 目前电梯的控制普遍采用两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能。微机控制是电梯控制技术的发展方向,目前已有一些由微机控制的电梯新机型相继推出,使控制功能得到增强,性能得到改善。微机控制系统虽然在智能控制方面有较强大的功能,但也存在一定的不足之处,一方面微机控制抗干扰能力较差、

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

电梯设计毕业论文

稻壳互联网大学毕业设计(论文)开题报告 2019 届 2019年5月9日

摘要 随着经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,交流变频调速技术已经进入一个崭新的时代,其应用越来越广。电梯是现代高层建筑的垂直交通工具,其设计要求稳定性、安全性及高。随着人们生活水平的不断提高,对电梯的要求的也相应提高,这样对电梯的安装也有了更高的要求。通过合理的选择和人性化的设计,提高了电梯的安装效率,并提升了电梯的安全系数与安装质量,使电梯达到了更美观、更安全、更实用的层次。 关键词:电梯硬件描述语言编译仿真

目录 摘要 (1) 第1章绪论 (1) 1.1课题的研究背景及意义 1.2 电梯的国内外发展状况 1.3课题研究的内容 第2章电梯的综述...................... 错误!未定义书签。 2.1电梯的定义与简介 2.2电梯的历史发展 2.3电梯的种类 2.4电梯的主要参数及性能指标 2.5电梯的结构及组成部件11 第3章控制系统软件控制回路.......... 错误!未定义书签。 3.1 总体方案的确定14

3.2 设计思想 第4章硬件的选择.................... 错误!未定义书签。 第5章硬件控制.................... 错误!未定义书签。 2.1 主拖动回路的设计.................................................. 错误!未定义书签。 2.1.1 电梯机房里的主要部件 .................................... 错误!未定义书签。 2.1.2电梯的安全保护装置.......................................... 错误!未定义书签。 2.2 电梯拖动 .................................................................... 错误!未定义书签。 2.2.1电梯参数的计算 ................................................... 错误!未定义书签。 2.2.2变频器的工作原理............................................... 错误!未定义书签。 2.2.3 电机与变频器配置及容量的选择 ............... 错误!未定义书签。 2.3 电梯门控制系统....................................................... 错误!未定义书签。 2.3.1 开关门过程 ........................................................... 错误!未定义书签。 2.3.2 门的拖动系统....................................................... 错误!未定义书签。 第6章经济效益分析 (22) 结论 (23) 致谢 (24)

相关文档
最新文档